JP2007538405A - 半導体処理におけるマイクロコンタミネーションの削減 - Google Patents

半導体処理におけるマイクロコンタミネーションの削減 Download PDF

Info

Publication number
JP2007538405A
JP2007538405A JP2007527251A JP2007527251A JP2007538405A JP 2007538405 A JP2007538405 A JP 2007538405A JP 2007527251 A JP2007527251 A JP 2007527251A JP 2007527251 A JP2007527251 A JP 2007527251A JP 2007538405 A JP2007538405 A JP 2007538405A
Authority
JP
Japan
Prior art keywords
gas
flow rate
plasma
helium
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007527251A
Other languages
English (en)
Other versions
JP4808716B2 (ja
Inventor
ヘマント マンゲカー,
ビクラム カプア,
ツアン リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007538405A publication Critical patent/JP2007538405A/ja
Application granted granted Critical
Publication of JP4808716B2 publication Critical patent/JP4808716B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Abstract

プロセスガスをプロセスチャンバに流し且つ流動性ガスをプロセスチャンバに流すことによって基板の上に膜が堆積される。プロセスガスは、シリコン含有ガスと酸素含有ガスを含んでいる。流動性ガスは、ヘリウム流と分子水素流を含み、分子水素流は、ヘリウムの流量の20%未満の流量で供給される。プラズマは、1011イオン/cmを超える密度でプロセスチャンバ内に形成される。プラズマにより基板の上に膜が堆積される。
【選択図】 図4

Description

発明の背景
[0001]基板上に膜を堆積させるために半導体処理業界で一般に用いられる技術の一種は化学気相堆積(“CVD”)技術である。従来の熱CVDプロセスは、基板表面に反応性ガスを供給し、そこで熱誘導化学反応が起こり、望ましい膜が生成する。プラズマ増強型CVD(“PECVD”)技術は、基板表面近くの反応ゾーンに高周波(“RF”)エネルギーを加えることによって反応性ガスの励起及び/又は解離を促進させ、それによってプラズマが生成する。プラズマ中の化学種の高反応性によって、化学反応が起こるのに必要とされるエネルギーが減少するので、従来の熱CVDプロセスと比較した場合、このようなCVDプロセスに必要とされる温度が低下する。これらの利点は、高密度プラズマ(“HDP”)CVD技術で更に利用することができ、高密度プラズマが低減圧で形成されて、その結果、プラズマ化学種がより反応する。これらの技術の各々は“CVD技術”の傘下に広くは入るものであるが、ある特定の用途に多かれ少なかれ適する特徴的な性質を有する。
[0002]例えば、HDP-CVDはギャップフィルプロセスにしばしば好ましく、堆積した膜が隣接する隆起構造間に画成されるギャップ、例えば、特にシャロートレンチアイソレーション(“STI”)、プレメタル誘電体(“PMD”)、又は金属間誘電体(“IMD”)の適用で生じることがあるギャップを充填するものである。このようなギャップフィルプロセスでの1つの難題は、材料がボイドを形成せずにギャップの中に堆積することを確実にすることである。この難題は、図1Aと図1Bに示した断面図で概略的に示される。図1Aは基板110の縦断面図を示し、例えば、特徴部120の層を有する半導体ウエハを備えることができる。隣接する特徴部120は、誘電材料で充填すべきギャップ114を画成し、ギャップの側壁116は特徴部120の表面によって画成されている。堆積が進むにつれて、誘電材料118は、特徴部120の表面上、また、基板110上に蓄積し、特徴部120の隅124にオーバハング122を形成する。誘電材料118の堆積が続くにつれて、オーバハング122は、典型的には、特徴的なブレッドローフィング方式でギャップ114より速く成長する。最終的には、オーバハング122は一緒に成長して、図1Bに示した誘電体膜126を形成し、内部ボイド128への堆積が防止される。
[0003]HDP-CVDプロセス中、プラズマの中のイオン化学種が高密度であるために、堆積している間でさえ、膜のスパッタリングがそこでも生じることから、HDP-CVDを用いるギャップフィルが用いられる傾向があった。堆積プロセス中、この同時に起こる材料のスパッタリングと堆積は、堆積中、ギャップを開放したままにする傾向がある。この作用でさえ、ギャップの幅を減少させるとともにアスペクト比を増加させて回路素子の密度を高める最近の傾向を考慮しても限界があることがわかっている。このようなより積極的なギャップフィルの適用において、有効であることがわかった1つの作用は、流動性ガスとしてヘリウムの流れを用いて反応性ガスを基板に送ることである。ヘリウムの使用は、ある一定の大きさ、特に約90-150nmの範囲のギャップを有する適用においてギャップフィルを改善させるのに特に適している。
[0004]しかしながら、本発明者らは、流動性ガスとしてのヘリウムの使用は、粒子汚染レベル、約2μm未満の大きさを有する粒子汚染物質のほとんどを著しく増加させることを発見した。このような汚染は、ヘリウムベースの堆積プロセスとギャップフィルプロセスを用いて形成されたデバイスの操作を不利に妨害してしまう。従って、ヘリウムベースのHDP-CVDギャップフィルプロセスを用いるときに汚染を除去する方法が当技術分野において求められている。
発明の簡単な概要
[0005]本発明者らは、ヘリウムの流動的な流れの使用に基づいたHDP-CVD堆積プロセスにおいて、水素の小さな流れを含むことがマイクロコンタミネーションのレベルを低下させる働きをするということを発見した。本発明者らは、このように水素流を含むことにより逆解離反応への推進力が増大し、それによって、高密度プラズマ中の成長コアの存在が制限されると仮定する。
[0006]ある実施形態においては、プロセスガスをプロセスチャンバに流すとともに流動性ガスをプロセスチャンバに流すことによって、基板の上に膜がこのように堆積する。プロセスガスは、SiHのようなシリコン含有ガスとOのような酸素含有ガスを含む。流動性ガスは、ヘリウム流と分子水素流を含み、分子水素流は、ヘリウムの流量の20%未満の流量で供給される。プラズマは、1011イオン/cmを超える密度でプロセスチャンバ内に形成される。プラズマによって膜が基板の上に堆積する。
[0007]ある実施形態においては、分子水素の流れはヘリウム流に比べて更に低い流量で供給されてもよく、一実施形態においてはヘリウムの流量の10%未満で、他の実施形態においてはヘリウムの流量の5%未満で供給される。ヘリウムの流量は、一実施形態においては、100〜1000sccmであってもよい。ある場合には、不活性ガスの追加の流れがヘリウムの流量の10%未満の流量で供給されてもよく、HDP-CVD堆積中にスパッタ特性の変更が可能になる。このような特性はまた、基板に負バイアスを印加することによるなど、他の方法でも変更させることができる。プロセスチャンバの内圧は、10ミリトール未満に維持することができる。
[0008]本発明の本質と利点の理解は、更に明細書の残りの部分と図面によって可能になる。
本発明の詳細な説明
[0018]流動性ガスとしてHeを用いるHDP-CVD堆積プロセスにおいて、増加したマイクロコンタミナントの発見と直面した時、本発明者らは、特にArのような他の流動性ガスの主な使用に基づく同様のプロセスと比べた場合に汚染物質の原因である潜在的メカニズムを確認するように着手した。彼らの最初の考えは、膜形成のための前駆ガスとしてSiHとOの流れを用いて堆積したドープされていないケイ酸塩ガラス(“USG”)の堆積に集中した。上記プロセスにおいて、SiHとOの流れは流動性ガスの流れに随伴することができ、本発明者らは、Ar流とよりもHe流と関連がある著しく高いレベルのマイクロコンタミネーションを見出した。
[0019]本発明者らは、汚染している多くの潜在的メカニズムを考えた。例えば、考えられる1つのメカニズムは、堆積が起こるプロセスチャンバの加熱が成分の熱膨張の原因であるという事実に関連がある。プロセスチャンバからのアルミニウム粒子の剥離は、このような加熱と、酸化シリコンと酸化アルミニウムの熱膨張係数間に不適合があるという事実に起因するものである。チャンバ内の温度が一般的にAr流よりもHe流によりわずかに高いことから、このメカニズムの影響はAr流よりもHe流により大きくなることがある。しかしながら、プロセス間の温度差は大きくなく、本発明者らはその差異がプロセスの化学反応に影響を与えるということを特定できないことから、この影響の寄与は小さいと考えられる。
[0020]汚染が生じやすい本発明者らが推測した他のメカニズムは、シランSiHの分解、特に、シラン熱分解、気相核形成及びマイクロコンタミナントの成長、並びに静電トラップ中のマイクロコンタミナントの表面成長に関連があった。シラン分解SiH→Si+SiH+Hは、逆反応に対する推進力がHeの存在で阻止されるので、流動するHeとともに増強すると考えられる。
[0021]図2A-図2Cは、どのようにしてシラン分解メカニズムがかなりのレベルのマイクロコンタミナントの形成を生じるかの概略図である。図2Aは、プロセスチャンバにガス流を供給するノズルのチップ204でのガス膨張の概略図を示している。モデリング結果は、処理中、200mmウエハに対してチャンバ内に用いられる2.55″ノズルの場合に、ノズルチップ204が約800℃の温度に達することがあることを確立した。このような高温は、生じるシランの急速熱分解や衝撃波面224に沿って生じる化学種が広がる原因となるクラッキング現象を促進する。解離したSiとSiH化学種は、チャンバ内の他のシリコンベース又はシランベースの粒子の成長のコアとして働くことができる。
[0022]図2Bは、プロセスチャンバ200内の化学種に対して、特に、チャンバ200にサイドフローを与えるように配置されたノズル204に対して生じることがあるフローパターンを示す図である。チャンバ200のほぼ矩形の断面は単に例示である。チャンバは、複雑な方法で、生じたフローパターンに影響する内部の形状を複雑にしたものであるが、ここでなされた一般的な考察は、ほとんどのこのようなチャンバに当てはまる。サイドノズル204からの解離した化学種の流れは、複数の成分の流れに分かれることができる。1つの流れ212は再循環パターンで上流に流れることができ、更に分かれて、再循環渦214を生成することができる。他の流れ208は、チャンバ200内のウエハペデスタル202に向かって流れ、渦作用によって再循環ゾーン216がペデスタルの下に生じることができる。粒子の滞留時間は、このような再循環ゾーン212、214、216において重要であり、シラン分解時間までに生成されるコアが、チャンバ200内で他の粒子との相互作用によって成長することを可能にする。このような再循環ゾーンの存在に起因する成長は、一般的に、同程度の膜を堆積させる場合に、Arベースのプロセスよりも長い時間行われることから、Heベースのプロセスにより増大してしまう。
[0023]汚染物質-粒子の成長を促進する再循環ゾーンにおける気相核形成に加えて、分解化学種が帯電するという事実によって、静電トラップにおいてこのような化学種のトラップが生じ、それによって、成長中心を与えることができる。このことは図2Cで概略的に示され、ウエハ228の上で荷電粒子232に作用する力を示している。重力加速gを受けるとき、質量mの結果として粒子232に作用する下方への重力mgは、電界Eにおける荷電qの結果として対向する電気力qEによってある領域ではほぼ釣り合うことができる。このような静電トラップの存在と位置は、チャンバ内全体の電界Eの方向と強さに左右され、図2Cは、多くの場合にこのようなトラップがウエハの上に存在し、マイクロコンタミナントの表面成長を生じるということを示している。
[0024]シラン分解に起因するこれらの潜在的な汚染メカニズムを考慮するとき、本発明者らは、逆反応に対する推進力がHe流動性ガス流とともにHの比較的小さな流れを含むことによって回復させることができると仮定した。このような推進力を回復させることによって、逆反応はマイクロコンタミナントの成長を阻止する働きをする。仮説を試験するために多くの実験が行われ、その結果は図3Aと図3Bに示され、座標方向の変化量が圧縮されるように、その両方が半対数プロットである。図3Aの結果は200mmウエハを用いて実験を行ったことにより作成し、図3Bの結果は300mmウエハを用いた実験により作成した。
[0025]最初の試験においては、SiHとOの流れを供給するのに加えて、He流を400sccmの流量でプロセスチャンバに供給し、定期的にH流も20sccmの流量で供給した。流動性の流れが完全にHeであったときの相中と流動性の流れが更に5%のH流を含んだときの相中の粒子レベルを測定した。図3Aのヒストグラムが示すように、H流を追加したプロセスチャンバ内の粒子レベルは、純粋なHe流動性ガス流による粒子レベルよりもほぼ2桁小さかった。
[0026]流動性ガスとともに追加の水素流を含むことの一副作用は、チャンバ内の圧力の増加を生じ、それがマイクロコンタミナント形成の減少に寄与することができるということである。従って、300mmウエハについての次の試験は、流動性の流れの中に水素を含むことによりマイクロコンタミナントの減少が再現可能であるということを立証するためと、減少が水素の存在に化学的に起因する程度を求める双方のためになされた。試験の基準は、黒のひし形で示され、約1000sccmのヘリウム流を水素流なしで用いた。50sccmのHの流れを加えることによる結果は、黒の四角で示され、粒子レベルにおいてかなりの減少を示している。斜線の三角は、H流量を100sccmに更に増加させることから生じる粒子レベルの更に減少を示し、斜線の丸は、200sccmのH流量から生じる粒子レベルの更に減少を示している。これらの結果の傾向によって、水素流を含むことにより粒子レベルの減少が生じるという図3Aの200mmウエハ結果の結論が確認される。
[0027]100sccmのH流をもつチャンバ圧力は、6.2ミリトールであった。H流から生じる圧力増加による寄与を評価するために、6.3ミリトール、即ち、100sccmH流による圧力よりもわずかに高い圧力に切り替えられたチャンバ圧力をもつ純粋なHe流動性の流れの粒子レベルも測定した。これらの結果は、白の三角で示され、基準の純粋なHeの結果と100sccmHの結果の中間にある。このことにより、流動性ガス流中にHを含むことによって生じる粒子の減少がその結果生じる圧力増加と化学作用の双方からの寄与があるということが確認される。このような化学作用による減少は、その作用を示すデータポイントを囲んだ楕円304と308により図3Bで強調される。粒子レベルの全体的な減少に加えて、図3Bの結果は、Hの存在が時間内での微粒子化の開始を遅らせるということを更に示している。
[0028]このようにHe流動性ベースのHDP-CVDプロセスにより基板の上に膜を堆積させるために用いることができる方法の概要は、図4の流れ図で示される。ブロック404で、膜の堆積の調製におけるHDPチャンバ内にウエハが配置される。ブロック408で、シリコン源と酸素源の流れを含むプロセスガス流がプロセスチャンバに供給される。ある実施形態においては、シリコン源はSiHのようなシランを含み、酸素源は分子酸素Oを含むが、他の実施形態においては、シリコン含有ガスと酸素含有ガスを用いることができる。ブロック412で流動性ガス流がプロセスチャンバに供給され、その流動性ガスはHの流量がHeの流量の20%未満であるHe流とH流を含んでいる。ある実施形態においては、HとHeとの相対流量は、10%未満であっても5%未満であってもよい。ある場合には、流動性の流れはHe流とH流からなってもよいが、他の場合には、個々の用途に対して堆積プロセスのスパッタ特性を調整するために、Ne又はArのような他の不活性ガスの小さな流れを追加させることができる。スパッタ特性を調整する他の技術は、プラズマ中の荷電イオン化学種をひきつけるために、ウエハに対して負バイアスをかけることを含むことができる。ブロック416で、プロセスチャンバ内に高密度プラズマが形成されて、その結果、ブロック420で、酸化シリコン膜が基板の上に堆積させることができる。本明細書に用いられる“高密度”プラズマは、1011イオン/cmを超える密度を有する。
[0029]図4に示すブロックの順序は限定するものでなく、ある実施形態においては変更されてもよい。例えば、流動性流れは、前駆ガス流と同時に、又はそれより早く供給されてもよい。ブロック416の高密度プラズマの形成は、ブロックの順序によって示されるよりも早くプロセスにおいて生じることもあり、例えば、プラズマ形成後に供給される前駆ガスをもつ流動性ガスだけから形成される。更に、本発明の原則が追加又は代替の操作がプロセスの一部として行われる様々な用途に用いることができるので、図4に示すブロックは網羅的なものではない。
例示的な基板処理システム
[0030]上記の方法はさまざまなHDP-CVDシステムで実施され、その一部を図5A-図5Cに関連して詳述される。図5Aは、一実施形態においては、このようなHDP-CVDシステム510の構造を概略的に示す図である。システム510には、チャンバ513と、真空システム570と、ソースプラズマシステム580Aと、バイアスプラズマシステム580Bと、ガス分配システム533と、遠隔プラズマ洗浄システム550とが含まれる。
[0031]チャンバ513の上部には、酸化アルミニウム又は窒化アルミニウムのようなセラミック誘電材料でできているドーム514が含まれる。ドーム514は、プラズマ処理領域516の上方境界を画成する。プラズマ処理領域516は、基板517と基板支持部材518の上面によって底面で境界となっている。
[0032]ヒータプレート523と冷却プレート524はドーム514の上にあり、熱的に結合している。ヒータプレート523と冷却プレート524は、約100℃〜200℃の範囲で約±10℃以内までドーム温度の制御を可能にする。これにより、さまざまなプロセスに対してドーム温度を最適化することが可能になる。例えば、堆積プロセスよりも洗浄プロセス又はエッチングプロセスに対してより高い温度でドームを維持することが好ましい。ドーム温度の正確な制御によって、チャンバ内の剥離又は粒子数が減少し、堆積層と基板の間の接着性が改善する。
[0033]チャンバ513の下部は、チャンバを真空システムに結合する本体部材522を含んでいる。基板支持部材518のベース部521は、本体部材522の上に取り付けられ、本体部材522と連続的な内面を形成する。基板は、チャンバ513の側面における挿入/撤去開口部(図示せず)を通って、ロボットブレード(図示せず)によってチャンバ513内外に搬送される。リフトピン(図示せず)をモーター(図示せず)の制御下に上げ、その後、下げて、上方装填位置557にあるロボットブレードから下方処理位置556に基板を移動させ、基板は、基板支持部材518の基板受容部519上に載置される。基板受容部519は、基板処理中、基板支持部材518に基板を固定する静電チャック520を含む。好適実施形態においては、基板支持部材518は、酸化アルミニウム又はアルミニウムセラミック材料で作られている。
[0034]真空システム570は、二枚刃スロットルバルブ526を収容するとともにゲートバルブ527とターボ分子ポンプ528に取り付けられているスロットル本体525を含んでいる。スロットル本体25がガス流に対する妨害を最小にし且つ左右対称のポンピングを可能にすることに留意すべきである。ゲートバルブ527はスロットル本体525からポンプ528を分離することができ、スロットルバルブ526が完全に開いている場合、排気流容量を制限することによってチャンバ圧力を制御することもできる。スロットルバルブ、ゲートバルブ、ターボ分子ポンプを配置よって、約1ミリトール〜約2トールのチャンバ圧力の正確で安定した制御が可能になる。
[0035]ソースプラズマシステム580Aは、ドーム514上に取り付けられたトップコイル529とサイドコイル530を含んでいる。左右対称のグランドシールド(図示せず)は、コイル間の電気的結合を減少させる。トップコイル529はトップソースRF(SRF)発生器531Aによって出力されるが、サイドコイル530はサイドSRF発生器531Bによって出力され、各コイルに対して独立した電力レベルと動作周波数を可能にする。このデュアルコイルシステムは、チャンバ513内のラジカルイオン密度の制御を可能にし、それによって、プラズマの均一性が改善される。サイドコイル530とトップコイル529は、典型的には、誘導的に駆動され、補足的電極を必要としない。個々の実施形態においては、トップソースRF発生器531Aは適度な2MHzで2,500ワットまでのRF電力を供給し、サイドソースRF発生器531Bは適度な2MHzで5,000ワットまでのRF電力を供給する。トップとサイドのRF発生器の動作周波数は適度な動作周波数(例えば、それぞれ、1.7-1.9MHz、1.9-2.1MHz)から相殺され、プラズマ生成効率を改善させることができる。
[0036]バイアスプラズマシステム580Bは、バイアスRF(“BRF”)発生器531Cとバイアス整合ネットワーク532Cとを含む。バイアスプラズマシステム580Bは、基板部517を本体部材522に容量結合し、補足的電極として働く。バイアスプラズマシステム580Bは、ソースプラズマシステム580Aによって生成されたプラズマ化学種(例えば、イオン)の基板表面への搬送を増強する働きをする。個々の実施形態においては、バイアスRF発生器は13.56MHzにおいて5,000ワットまでのRF電力を供給する。
[0037]RF発生器531Aと531Bは、デジタル制御された合成装置を含み、約1.8〜約2.1MHzの周波数範囲で作動する。当業者が理解するように、各発生器は、発生器に戻るチャンバとコイルからの反射電力を測定し且つ動作周波数を調整して最も低い反射電力を得るRF制御回路(図示せず)を含む。RF発生器は、典型的には、50オームの特性インピーダンスをもつ負荷に作動するように設計される。RF電力は、発生器とは異なる特性インピーダンスをもつ負荷から反射させることができる。これによって、負荷に移される電力を減少させることができる。更に、発生器に戻る負荷から反射される電力は、過負荷し発生器を損傷させてしまう。プラズマのインピーダンスは、他の要因のうち、プラズマイオン密度によって、5オーム未満から900オームを超える範囲にあってもよく、反射電力が周波数の関数であってもよいことから、反射電力に従って発生器周波数を調整するとRF発生器からプラズマに移される電力が増大し、発生器が保護される。反射電力を減少させるとともに効率を改善させる他の方法は、整合ネットワークによる。
[0038]整合ネットワーク532Aと532Bは、発生器531Aと531Bの出力インピーダンスを、それぞれのコイル529と530と整合させる。RF制御回路は、整合ネットワーク内のキャパシタの値を変化させることによって、双方の整合ネットワークを調整して、負荷変化として発生器を負荷に整合させることができる。発生器に戻る負荷から反射される電力がある限度を超える場合、RF制御回路は整合ネットワークを調整することができる。一定の整合を与え、RF制御回路が整合ネットワークを調整することを有効的に無効にする1つの方法は、反射電力の期待値を超えた反射電力限度を設定することである。このことにより、最新の条件で整合ネットワークを一定に保つことによってある条件下でプラズマに安定化することを援助することができる。
[0039]他の基準もまたプラズマを安定化することを援助することができる。例えば、RF制御回路は負荷(プラズマ)に分配される電力を求めるために用いることができ、層の堆積中、分配電力をほぼ一定に保つために発生器出力を増加又は減少させることができる。
[0040]ガス分配システム533は、いくつかのソース、534A-534Eからガス分配ライン538(その一部だけを図示する)を介して基板を処理するためのチャンバにガスを供給する。当業者が理解するように、ソース、534A-534Eに対して用いられる実際のソースと分配ライン538のチャンバ513への実際の接続は、チャンバ513内で実行される堆積プロセスと洗浄プロセスによって異なる。ガスは、ガスリング537及び/又はトップノズル545を通って、チャンバ513に導入される。図5Bは、ガスリング537の詳細を更に示しているチャンバ513の簡易部分断面図である。
[0041]一実施形態においては、第1と第2のガス源534Aと534B、第1と第2のガス流コントローラ535A’と535B’は、ガス供給ライン538(その一部だけ図示する)を介してガスリング537中のリングプレナム536にガスを供給する。ガスリング537は、基板の上に一様な流れを供給する複数のソースガスノズル539(例示のためにその一部だけを図示する)を有する。ノズルの長さとノズルの角度は、個々のチャンバ内での具体的なプロセスに対して、均一性プロファイルとガス利用効率の調整を可能にするために変更することができる。好適実施形態においては、ガスリング537は、酸化アルミニウムセラミックでできている12のソースガスノズルを有する。
[0042]ガスリング537は、また、複数の酸化剤ガスノズル540(その一部だけを図示する)を有し、好適実施形態においては、ソースガスノズル539と共平面であり、ソースガスノズル539より短く、一実施形態においては、ガスを本体プレナム541から受容する。ある実施形態においては、チャンバ513にガスを注入する前にソースガスと酸化剤ガスを混合しないことが好ましい。他の実施形態において、ソースガスと酸化剤ガスは、本体プレナム541とガスリングプレナム536の間にアパーチャ(図示せず)を設けることによって、チャンバ513にガスを注入する前に混合することができる。一実施形態においては、第3、第4、第5のガス源、534C、534D、534D’、と第3、第4のガス流コントローラ、535Cと535D’は、ガス分配ライン538を介して本体プレナムにガスを供給する。543Bのような追加バルブ(他のバルブは図示せず)は、フローコントローラからチャンバへのガスを止めることができる。本発明のある実施形態を実施する際に、ソース534AはシランSiHソースを含み、ソース534Bは酸素分子Oソースを含み、ソース534CはシランSiHソースを含み、ソース534DはヘリウムHeソースを含み、ソース534D’は分子水素Hソースを含む。
[0043]可燃性、毒性又は腐食性ガスが用いられる実施形態においては、堆積後、ガス分配ラインの中に残っているガスを除去することが望ましい。このことは、例えば、チャンバ513を分配ライン538Aから分離し、供給ライン538Aを真空フォアライン544に排出するために、バルブ543Bのような3方向バルブを用いて達成することができる。図5Aに図示するように、543A、543Cのような他の同様のバルブも、他のガス分配ライン上に組込むことができる。このような3方向バルブは、排出されないガス分配ラインの容積を最小限にするために(3方向バルブとチャンバの間の)、実施しやすいようにチャンバ513の近くに配置することができる。更に、2方向(オン-オフ)バルブ(図示せず)は、マスフローコントローラ(“MFC”)とチャンバの間又はガス源とMFCの間に配置することができる。
[0044]図5Aを再び参照すると、チャンバ513は、また、トップノズル545とトップベント546を有する。トップノズル545とトップベント546は、トップとサイドの流れを独立して制御することを可能にし、膜均一性が改善され且つ膜の堆積とドーピングパラメータの微調整が可能になる。トップベント546はトップノズル545の周りの環状開口である。一実施形態においては、第1ガス源534Aは、ソースガスノズル539とトップノズル545を供給する。ソースノズルMFC535A’はソースガスノズル539に分配されるガスの量を制御し、トップノズルMFC535Aはトップガスノズル545に分配されるガスの量を制御する。同様に、2つのMFC535Bと535B’は、ソース534Bのような単一酸素源から、トップベント546と酸化剤ガスノズル540双方への酸素流を制御するために用いることができる。トップノズル545とトップベント546に供給されるガスは、チャンバ513にガスが流れる前に別々に保つことができ、又はチャンバ513に流れる前にトッププレナム548内でガスを混合することができる。チャンバのいろいろな部分に供給するために同じガスの別々のソースを用いることができる。
[0045]遠隔マイクロ波生成プラズマ洗浄システム550は、チャンバ構成部品から堆積残留物を定期的に洗浄するために設けられる。洗浄システムは、洗浄ガス源534E(例えば、分子フッ素、三フッ化窒素、他のフルオロカーボン又は同等物)からプラズマを生成する遠隔マイクロ波発生器551をリアクタキャビティ553内に含む。このプラズマから生じる反応性化学種は、アプリケータチューブ555を介して洗浄ガス供給口554を通ってチャンバ513に送られる。洗浄プラズマを含むように用いられる材料(例えば、キャビティ553とアプリケータチューブ555)は、プラズマによる攻撃に耐性がなければならない。リアクタキャビティ553と供給口554の間の距離は、望ましいプラズマ化学種の濃度がリアクタキャビティ553からの距離で低下してしまうので、実際的のように短く保たれるべきである。遠隔キャビティ内で洗浄プラズマを生成すると、効率の良いマイクロ波発生器の使用が可能になり、チャンバの構成部品はインサイチュで形成されるプラズマ内に存在することができるグロー放電の温度、放射線、又は衝撃の影響を受けない。その結果、静電チャック520のような比較的感受性のある構成部品を、インサイチュプラズマ洗浄プロセスで必要とされるものであるようにダミーウエハで覆うか或いは保護する必要がない。
[0046]上記のサブシステムとルーチンの一部又は全てを組込むことができるシステムの一例は、本発明を実施するために形成された、カリフォルニア州サンタクララのアプライドマテリアルズ社で製造された、ULTIMATMシステムである。このようなシステムの詳細は、更に、“対称の調節可能な誘導結合HDP-CVDリアクタ”と題する1996年7月15日出願の共同譲渡された米国特許第6,170,428号に開示され、Fred C.Redeker、Farhad Moghadam、Hirogi Hanawa、TetsuyaIshikawa、Dan Maydan、Shijian Li、BrianLue、Robert Steger、Yaxin Wang、ManusWong、Ashok Sinhaが共同本発明者として記載され、この開示内容は本明細書に援用されている。記載されているシステムは、例示のためだけのものである。当業者にとって、本発明を実施するのに適切な慣用の基板処理システムとコンピュータ制御システムを選ぶことは、日常的技術の内容である。
[0047]当業者は、異なる処理チャンバや異なる処理条件に対して処理パラメータが変わり、種々の前駆物質が本発明の精神から逸脱することなく使用し得ることを理解するであろう。他の変更も当業者には明らかである。これらの同等物や代替物は本発明の範囲内に包含されるものである。従って、本発明の範囲は、記載された実施形態に限定すべきでなく、代わりに、次の特許請求の範囲によって定義されるべきである。
図1Aは、ギャップフィルプロセス中のボイドの形成を示す概略断面図である。 図1Bは、ギャップフィルプロセス中のボイドの形成を示す概略断面図である。 図2Aは、前駆ガスの熱分解が衝撃波面によって開始することができるプロセスチャンバ内のノズルチップでガス膨張が形成する概略図である。 図2Bは、高滞留時間が微粒子化を促進することができる再循環ゾーンを示すHDP-CVDプロセスチャンバ内の流れの概略図である。 図2Cは、汚染物質の成長の原因となることがあるプラズマ粒子についての力の概略図である。 図3Aは、HDP-CVD堆積プロセスにおいて、H流とHe流動性ガスとを含むことの効果を評価するために、200mmウエハを用いた試験の実験結果を示すグラフである。 図3Bは、HDP-CVD堆積プロセスにおいて、H流とHe流動性ガスとを含むことの効果を評価するために、300mmウエハを用いた試験の実験結果を示すグラフである。 図4は、HDP-CVD堆積プロセスにおいて、H流とHe流動性ガスとを含む本発明の実施形態をまとめた流れ図である。 図5Aは、本発明によるHDP-CVDシステムの一実施形態の簡易図である。 図5Bは、図5Aの例示的なHDP-CVD処理チャンバとともに用いることができるガスリングの簡易断面である。
符号の説明
110…基板、114…ギャップ、116…側壁、118…誘電材料、120…特徴部、122…オーバハング、124…隅、126…誘電体膜、200…プロセスチャンバ、202…ウエハペデスタル、204…ノズル、208…流れ、212…流れ、214…再循環渦、216…再循環ゾーン、224…衝撃波面、228…ウエハ、232…荷電粒子、510…HDP-CVDシステム、513…チャンバ、514…ドーム、516…プラズマ処理領域、517…基板、518…基板支持部材、519…基板受容部、520…静電チャック、522…本体部材、523…ヒータプレート、524…冷却プレート、525…スロットルバルブ、526…スロットルバルブ、527…ゲートバルブ、528…ポンプ、529…トップコイル、530…サイドコイル、531A…トップソースRF発生器、531B…サイドソースRF発生器、531C…バイアスRF発生器、532…整合ネットワーク、533…ガス分配システム、534…ガス源、535…ガス流コントローラ、536…リングプレナム、537…ガスリング、538…ガス分配ライン、539…ソースガスノズル、540…酸化剤ガスノズル、541…本体プレナム、543…バルブ、545…トップノズル、546…トップベント、548…トッププレナム、550…遠隔プラズマ洗浄システム、551…遠隔マイクロ波発生器、553…リアクタキャビティ、554…洗浄ガス供給口、555…アプリケータチューブ、557…上方装填位置、570…真空システム、580A…ソースプラズマシステム、580B…バイアスプラズマシステム。

Claims (15)

  1. 基板の上に膜を堆積させる方法であって、
    プロセスガスをプロセスチャンバに流すステップであって、該プロセスガスがシリコン含有ガスと酸素含有ガスを含む前記ステップと、
    流動性ガスを該プロセスチャンバに流すステップであって、該流動性ガスがヘリウム流と分子水素流を含み、該分子水素流が該ヘリウムの流量の20%未満の流量で供給される前記ステップと、
    該プロセスガスと流動性ガスから該プロセスチャンバ内でプラズマを形成するステップであって、該プラズマが1011イオン/cmを超える密度を有する前記ステップと、
    該プラズマにより該基板の上に該膜を堆積させるステップと、
    を含む、前記方法。
  2. 該分子水素流が該ヘリウムの該流量の10%未満の流量で供給される、請求項1記載の方法。
  3. 該分子水素流が該ヘリウムの該流量の5%未満の流量で供給される、請求項1記載の方法。
  4. 該流動性ガスが、不活性ガスの流れを該ヘリウムの流量の10%未満の流量で更に含む、請求項1記載の方法。
  5. 該ヘリウムの該流量が100〜1000sccmである、請求項1記載の方法。
  6. 該基板に負バイアスを加えるステップを更に含む、請求項1記載の方法。
  7. 該プロセスチャンバの内圧が10ミリトールに維持される、請求項1記載の方法。
  8. 該シリコン含有ガスがSiHを含む、請求項1記載の方法。
  9. 該酸素含有ガスがOを含む、請求項1記載の方法。
  10. 隣接する隆起特徴部を有する基板の上に膜を堆積させて、該隣接する隆起特徴部間のギャップを充填する方法であって、該ギャップが90〜150nmの幅を有する前記方法であって、
    プロセスガスをプロセスチャンバに流すステップであって、該プロセスガスがシリコン含有ガスと酸素含有ガスを含む前記ステップと、
    流動性ガスを該プロセスチャンバに流すステップであって、該流動性ガスがヘリウム流と分子水素流を含み、該分子水素流が該ヘリウムの流量の10%未満の流量で供給される前記ステップと、
    該プロセスガスと流動性ガスから該プロセスチャンバ内でプラズマを形成するステップであって、該プラズマが1011イオン/cmを超える密度を有する前記ステップと、
    該プロセスチャンバの内圧を10ミリトール未満に維持するステップと、
    該プラズマにより該ギャップに該膜を堆積させるステップと、
    を含む、前記方法。
  11. 該分子水素流が、該ヘリウムの該流量の10%未満の流量で供給される、請求項10記載の方法。
  12. 該ヘリウムの該流量が100〜1000sccmである、請求項10記載の方法。
  13. 該ヘリウムの該流量が300〜500sccmである、請求項10記載の方法。
  14. 該シリコン含有ガスがSiHを含み、該酸素含有ガスがOを含む、請求項10記載の方法。
  15. 隣接する隆起特徴部を有する基板の上にドープされていないケイ酸塩ガラス膜を堆積させて、該隣接する隆起特徴部間のギャップを充填する方法であって、
    SiH、O、He、Hをプロセスチャンバに流すステップであって、該Heが100〜1000sccmの流量で供給され、該Hが該Heの該流量の20%未満の流量で供給される前記ステップと、
    該プロセスチャンバに流されるガスからプラズマを形成させるステップであって、該プラズマが1011イオン/cmを超える密度を有する前記ステップと、
    該プロセスチャンバの内圧を10ミリトール未満に維持するステップと、
    該プラズマにより該ギャップに該ドープされていないケイ酸塩ガラス膜を堆積させるステップと、
    を含む、前記方法。
JP2007527251A 2004-05-18 2005-04-27 半導体処理におけるマイクロコンタミネーションの削減 Expired - Fee Related JP4808716B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/847,922 US20050260356A1 (en) 2004-05-18 2004-05-18 Microcontamination abatement in semiconductor processing
US10/847,922 2004-05-18
PCT/US2005/014506 WO2005117088A1 (en) 2004-05-18 2005-04-27 Microcontamination abatement in semiconductor processing

Publications (2)

Publication Number Publication Date
JP2007538405A true JP2007538405A (ja) 2007-12-27
JP4808716B2 JP4808716B2 (ja) 2011-11-02

Family

ID=35134841

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007527251A Expired - Fee Related JP4808716B2 (ja) 2004-05-18 2005-04-27 半導体処理におけるマイクロコンタミネーションの削減

Country Status (6)

Country Link
US (1) US20050260356A1 (ja)
JP (1) JP4808716B2 (ja)
KR (1) KR101171127B1 (ja)
CN (1) CN100501940C (ja)
TW (1) TWI278531B (ja)
WO (1) WO2005117088A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792438B2 (ja) * 2010-08-12 2015-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
CN108062069A (zh) * 2018-01-25 2018-05-22 无锡盈芯半导体科技有限公司 用于二硫化钼cvd设备的控制系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144683A (ja) * 1996-10-25 1998-05-29 Applied Materials Inc Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法
JP2002134495A (ja) * 2000-05-19 2002-05-10 Applied Materials Inc 窒素ドープfsg層の堆積方法

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4572841A (en) * 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US6230650B1 (en) * 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) * 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (ko) * 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3856483T2 (de) * 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US5874350A (en) * 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5507881A (en) * 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100241817B1 (ko) * 1993-12-27 2000-02-01 니시무로 타이죠 박막형성법
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JPH08167605A (ja) * 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
FR2734402B1 (fr) * 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) * 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
KR100226751B1 (ko) * 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6013191A (en) * 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
WO1999045167A1 (en) * 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6174808B1 (en) * 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
KR100343286B1 (ko) * 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR20030058015A (ko) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 고밀도 플라즈마 산화막 형성방법
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6989337B2 (en) * 2003-10-02 2006-01-24 United Microelectric Corp. Silicon oxide gap-filling process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144683A (ja) * 1996-10-25 1998-05-29 Applied Materials Inc Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法
JP2002134495A (ja) * 2000-05-19 2002-05-10 Applied Materials Inc 窒素ドープfsg層の堆積方法

Also Published As

Publication number Publication date
TW200538578A (en) 2005-12-01
TWI278531B (en) 2007-04-11
KR101171127B1 (ko) 2012-08-03
US20050260356A1 (en) 2005-11-24
CN100501940C (zh) 2009-06-17
CN1954415A (zh) 2007-04-25
WO2005117088A1 (en) 2005-12-08
KR20070011587A (ko) 2007-01-24
JP4808716B2 (ja) 2011-11-02

Similar Documents

Publication Publication Date Title
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7244658B2 (en) Low stress STI films and methods
US7329586B2 (en) Gapfill using deposition-etch sequence
US20080142483A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20140187045A1 (en) Silicon nitride gapfill implementing high density plasma
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
JP2014509449A (ja) Hdp−cvdによるポリシリコン膜
WO2006074489A1 (en) Low-frequency bias power in hdp-cvd processes
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
KR20100108398A (ko) 낮은 습윤 에칭률 실리콘 질화물 필름
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
JP4808716B2 (ja) 半導体処理におけるマイクロコンタミネーションの削減
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101124

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110223

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110817

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140826

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees