JP2019153789A - 保護皮膜を備える石英部品 - Google Patents

保護皮膜を備える石英部品 Download PDF

Info

Publication number
JP2019153789A
JP2019153789A JP2019037234A JP2019037234A JP2019153789A JP 2019153789 A JP2019153789 A JP 2019153789A JP 2019037234 A JP2019037234 A JP 2019037234A JP 2019037234 A JP2019037234 A JP 2019037234A JP 2019153789 A JP2019153789 A JP 2019153789A
Authority
JP
Japan
Prior art keywords
quartz
plasma reactor
plasma
protective layer
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019037234A
Other languages
English (en)
Other versions
JP2019153789A5 (ja
Inventor
リン・スー
Su Lin
ロビン・コシー
Koshy Robin
ジョン・エドワード・ダウガティ
Edward Daugherty John
サティシュ・スリニバサン
srinivasan Satish
デービッド・ウェッツェル
Wetzel David
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019153789A publication Critical patent/JP2019153789A/ja
Publication of JP2019153789A5 publication Critical patent/JP2019153789A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】石英フィーチャの劣化により、石英表面が落下して電子機器が製造されうる石英表面の下に位置する基板に干渉する可能性を克服できる不安定なマイクロフィーチャの生成を抑制する保護皮膜を備える石英部品を提供する。【解決手段】石英構造体は、酸化イットリウムを含む保護層を備える。石英構造体の製作方法は、石英構造体を受け取ることと、酸化イットリウムを含む保護層で石英構造体を皮膜して、プラズマリアクタで用いられるべき部品を形成することと、を含む。石英部品は、プラズマリアクタにおいて窓またはインジェクタを形成するのに適した大きさおよび形状を有する。保護層は、石英構造体の大きさまたは形状を実質的に変化させない。石英部品は、動作中にプラズマがその部品に接触または近接するだろう位置でプラズマリアクタに取り付けられてよい。【選択図】図7A

Description

リアクタでのプラズマベースのエッチング動作において使用するために構成された石英部品は、リアクタ内に含まれたプラズマ(例えば、特に水素含有プラズマ)に曝露されると、エッチングされる、あるいは劣化する可能性がある。従来、損傷した石英部品は、必要に応じて新しい部品と交換される。しかし、ある特定の状況では、石英フィーチャの劣化は、石英表面が落下して電子機器が製造されうる石英表面の下に位置する基板に干渉する可能性を克服できる不安定なマイクロフィーチャを生成しうる。
本明細書に含まれる背景技術および文脈の説明は、本開示の内容を一般的に提示する目的のみで提供される。本開示のほとんどは、発明者の発明を提示しており、単に、そのような発明が背景技術欄に説明されている、または本明細書の他の文脈に示されているからといって、先行技術とは認められない。
本開示の一態様は、プラズマリアクタの部品として使用するのに適した大きさおよび形状を有する石英部品、ならびに、取り付けられたときにプラズマリアクタの内部領域に面する、石英構造体の少なくとも1つの表面に配置された酸化イットリウムを含む保護層に関する。保護層は、石英構造体の大きさまたは形状を実質的に変化させない。
いくつかの実施形態では、石英部品は、プラズマリアクタの外部に位置するプラズマ源とプラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する。
本明細書では、プラズマリアクタの部品として使用するのに適した大きさおよび形状を有する石英構造体を有する石英部品が記載される。酸化イットリウムを含む保護層は、取り付けられたときに動作中のプラズマリアクタで生成されたプラズマに曝露される石英構造体の少なくとも1つの表面上に配置される。保護層は、石英構造体の大きさまたは形状を実質的に変化させない。
いくつかの実施形態では、石英部品は、プラズマリアクタの外部に位置するプラズマ源とプラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する。
いくつかの実施形態では、石英部品は、無線周波数源またはマイクロ波源からの無線周波数電力またはマイクロ波電力が通ってプラズマリアクタの内部領域に入ることを可能にする位置でプラズマリアクタに配置されるように構成された石英窓である。
いくつかの実施形態では、石英窓は、約1cmから3cmの間の厚さを有する。
いくつかの実施形態では、石英窓は、実質的に平坦であり、約40cmから約100cmの間の直径または長さを有する。
いくつかの実施形態では、石英部品は、ガスをプラズマリアクタの内部領域に導入するため、および/または、ガスをプラズマリアクタの内部領域から除去するための1つ以上の流路を備える石英インジェクタである。
いくつかの実施形態では、石英部品は、中空ドームである。
いくつかの実施形態では、保護層は、約10nmから約10μmの間の厚さを有する。その厚さは、石英部品の表面全体の平均的な厚さであってよい。
いくつかの実施形態では、石英部品は、約0.01μmから約2μmの表面粗度Raを有する。その表面粗度は、石英部品の表面全体の平均的な表面粗度であってよい。
いくつかの実施形態では、保護層は、平均して約1%未満の気孔率を有する。
いくつかの実施形態では、保護層は、平均して約10nmから約100nmの間の最大断面寸法を有する酸化イットリウム結晶子を含む。
いくつかの実施形態では、保護層は、少なくとも約90質量%の酸化イットリウムを含む。
いくつかの実施形態では、保護層は、少なくとも99質量%の酸化イットリウムを含む。
本明細書には、プラズマ処理動作中に基板を保持するように構成された基板支持体を有するプラズマリアクタが記載される。プラズマ源は、動作中にプラズマが形成されるプラズマリアクタの内部領域に電力を供給するように構成される。プラズマリアクタは、(a)プラズマリアクタの部品として使用するのに適した大きさおよび形状を有する石英構造体と、(b)取り付けられたときにプラズマリアクタで生成されたプラズマに曝露される石英構造体の少なくとも1つの表面上に配置される酸化イットリウムを含む保護層と、を備える石英部品を有する。保護層は、石英構造体の大きさまたは形状を実質的に変化させない。プラズマリアクタは、さらに、プラズマ源に無線周波数電力またはマイクロ波電力をプラズマリアクタの内部領域に提供させるためのプラグラム命令を含む制御装置を備える。
いくつかの実施形態では、プラズマリアクタ内の石英部品は、動作中にプラズマが石英部品に接触または近接するだろう位置に配置される。
いくつかの実施形態では、プラズマは、水素含有プラズマである。
いくつかの実施形態では、プラズマリアクタは、エッチングツール、アッシングツール、および/または、堆積ツールである。
いくつかの実施形態では、プラズマ源は、コイルを備える。
いくつかの実施形態では、プラズマ源は、無線周波数発生器を備える。
いくつかの実施形態では、プラズマ源は、マイクロ波発生器を備える。
いくつかの実施形態では、石英部品は、プラズマ源とプラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する。
いくつかの実施形態では、石英部品は、無線周波数源またはマイクロ波源からの無線周波数電力またはマイクロ波電力が通ってプラズマリアクタの内部領域に入ることを可能にする位置でプラズマリアクタに配置されるように構成された石英窓である。
いくつかの実施形態では、石英窓は、約1cmから3cmの間の厚さを有する。
いくつかの実施形態では、石英窓は、実質的に平坦であり、約40cmから約100cmの間の直径または長さを有する。
いくつかの実施形態では、石英部品は、ガスをプラズマリアクタの内部領域に導入するため、および/または、ガスをプラズマリアクタの内部領域から除去するための1つ以上の流路を備える石英インジェクタである。
いくつかの実施形態では、石英部品は、中空ドームである。
いくつかの実施形態では、保護層は、約10nmから約10μmの間の厚さを有する。その厚さは、石英部品の表面全体の平均的な厚さであってよい。
いくつかの実施形態では、石英部品は、約0.01μmから約2μmの間の表面粗度Raを有し、その表面粗度は、石英部品の表面全体の平均的な表面粗度である。
いくつかの実施形態では、保護層は、平均して約1%未満の気孔率を有する。
いくつかの実施形態では、保護層は、平均して約10nmから約100nmの間の最大断面寸法を有する酸化イットリウム結晶子を含む。
いくつかの実施形態では、保護層は、少なくとも約90質量%の酸化イットリウムを含む。
いくつかの実施形態では、保護層は、少なくとも約99質量%の酸化イットリウムを含む。
本明細書に記載されるのは、(a)石英構造体を受け取ることと、(b)酸化イットリウムを含む保護層で石英構造体を皮膜して、プラズマリアクタ用の石英部品を形成することと、を含む方法である。石英部品は、プラズマリアクタで用いられる部品を形成するのに適した大きさおよび形状を有する。
いくつかの実施形態では、保護層は、石英構造体の大きさまたは形状を実質的に変化させない。
いくつかの実施形態では、この方法は、さらに、動作中にプラズマが石英部品に接触または近接するだろう位置でプラズマリアクタに石英部品を取り付けることを含む。
いくつかの実施形態では、プラズマリアクタは、エッチングツール、アッシングツール、および/または、堆積ツールである。
いくつかの実施形態では、石英部品は、プラズマリアクタの外部に位置するプラズマ源とプラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する。
いくつかの実施形態では、石英部品は、無線周波数源またはマイクロ波源からの無線周波数電力またはマイクロ波電力が通ってプラズマリアクタの内部に入ることを可能にする位置でプラズマリアクタに配置されるように構成された石英窓である。
いくつかの実施形態では、石英窓は、約40cmから約100cmの間の厚さを有する。
いくつかの実施形態では、石英部品は、ガスをプラズマリアクタの内部に導入するため、および/または、ガスをプラズマリアクタの内部から除去するための1つ以上の流路を備える石英インジェクタである。
いくつかの実施形態では、保護層は、約10nmから約10μmの間の厚さを有し、その厚さは、取り付けられたときにプラズマリアクタの内部領域に面する石英部品の表面全体の平均的な厚さである。
いくつかの実施形態では、石英部品は、約0.01μmから約5μmの間の表面粗度Raを有し、その表面粗度は、取り付けられたときにプラズマリアクタの内部領域に面する石英部品の表面全体の平均的な表面粗度である。
いくつかの実施形態では、保護層は、平均して約1%未満の気孔率を有する。
いくつかの実施形態では、保護層は、平均して約10nmから約100nmの間の最大断面寸法を有する酸化イットリウム結晶子を含む。
いくつかの実施形態では、保護層は、少なくとも約90質量%の酸化イットリウムを含む。
いくつかの実施形態では、保護層は、少なくとも約99質量%の酸化イットリウムを含む。
いくつかの実施形態では、酸化イットリウムを含む保護層で石英構造体を皮膜することは、原子層堆積で保護層を堆積させることを含む。
いくつかの実施形態では、この方法は、さらに、保護層を粗面化することを含み、それには保護層を脱イオン水に曝すことを含んでよい。脱イオン水は、約50℃から約100℃の間の温度を有する。
以下に、多数の例示的な実施形態が付随の図面を参照により詳細に説明される。その他の特徴、態様、および利点は、説明、図面、請求項から明らかになるだろう。以下の図の相対寸法は、変倍後の図面であることを明示されない限り、縮尺に合わせて描かれなくてよいことに注意されたい。
水素含有プラズマへの曝露中に石英部品上に形成されたマイクロフィーチャを表す顕微鏡写真。
リモートプラズマリアクタの概略図。
プラズマリアクタのマイクロ波プラズマ源の概略図。
TCPプラズマリアクタの概略図。
プラズマリアクタにガスを供給するための石英インジェクタの斜視図。 プラズマリアクタにガスを供給するための石英インジェクタの断面図。
石英窓などの保護層を備えた単純な石英部品の概略図。
酸化イットリウムを含む保護層が配置されている石英層の顕微鏡写真。
堆積した保護層の表面をテクスチャ加工する選択肢を含む、石英部品上に保護層を形成するためのプロセスのフローチャート。 堆積した保護層の表面をテクスチャ加工する選択肢を含む、石英部品上に保護層を形成するためのプロセスのフローチャート。
酸化イットリウム保護層のテクスチャ加工された表面の顕微鏡写真。
本開示は、一般に、例えば酸化イットリウムを含む保護層で皮膜された石英構造体を有する石英部品に関する。石英部品は、プラズマリアクタで用いられてよい。石英部品は、プラズマリアクタにおいて、窓、ポート、または他の部品を形成するのに適した大きさおよび形状を有することが多い。石英部品は、石英構造体を保護層で皮膜することによって製作されてよい。皮膜プロセスは、原子層堆積(ALD)または化学気相堆積(CVD)(プラズマ強化CVD(PECVD)を含む)などの制御可能なプロセスによって、酸化イットリウムなどの皮膜材料を堆積させることを含んでよい。特定の実施形態では、少なくとも石英部品がプラズマリアクタの一部として機能する範囲で、石英部品と石英構造体とが実質的に同じ大きさおよび形状を有するように、保護層は石英部品に対して薄い。いくつかの実施形態では、本開示は、石英部品を含むプラズマリアクタなどの装置またはシステムに関する。本開示は、また、プラズマリアクタなどの装置またはシステムに石英部品を取り付ける、あるいは提供することによって、装置またはシステムを製作する方法にも関する。
石英部品は、リアクタのプラズマ(特に、水素ベースのプラズマ)によって、時にエッチングされ、あるいは侵食される。プラズマに曝露されたときの石英部品の侵食は、様々な悪影響を引き起こしうる。しかし、場合によっては、特に、石英部品がプラズマリアクタの消耗部品だと考えれば、単なる石英の除去は、比較的些細な問題である。そのような場合、一定量の石英が除去された後に、部品は単に新しいものと置き換えられ、装置は引き続きプラズマベースの反応のために用いられる。
しかし、本発明によって、場合によっては、侵食は、石英部品(例えば、窓)から脱落して、電子デバイスが製造されている基板上に落ちる可能性がある不安定なマイクロフィーチャを生成することが判明した。その場合、マイクロフィーチャ、特に、そのようなマイクロフィーチャから生成された粒子は、電子デバイスを破壊する可能性がある。石英部品上のマイクロフィーチャを損傷する例は、図1の顕微鏡写真に示される。
不安定なマイクロフィーチャは、広範囲の大きさを有しうるが、おおよそ円筒形状と仮定して、最大約10μmの直径で約1μmから50μmの長さの範囲であることが多い。それらは、製作された電子デバイス上に欠陥を生じさせるのに十分な大きさである。プラズマエッチングが、石英を窓の表面全体で一様に除去するだけでマイクロフィーチャを生成しない場合は、石英のプラズマエッチングは、それほど重大な問題ではないだろう。
しかし、石英部品によっては、不安定なマイクロフィーチャの形成の可能性に関わらず、石英のわずかな侵食が問題を引き起こす。例えば、以下に説明する高耐性流路を備えた石英インジェクタについては、流路内の微量の石英の除去でさえも、流れの流体力学が大きく変わり、プラズマリアクタで実行されるプロセスに悪影響を及ぼしうる。影響を受けやすい流路を備えた石英インジェクタの例は、図5Aおよび図5Bに示される。
不安定なマイクロフィーチャは、動作温度が低い(例えば、約20℃から200℃)石英表面の領域において、時としてより簡単にまたはより目立つように形成される。高温に曝された領域には、非常に少ないマイクロフィーチャしか生成されない。理論にとらわれたくないが、そのような現象は、水素プラズマとシリカ(石英)との反応によって生成されたシラン(SiH4)が、高温によって再びシリカを形成するように分解されるために起こりうるとされている。低温では、シランが漏れ出て、それと一緒に石英からケイ素を取り去り、シリカがマイクロフィーチャに残されるため、そのような分解は起こらないだろう。
定義:
本明細書で用いられる用語「半導体ウエハ」は、半導体材料(例えば、シリコン)で作られるウエハ、ならびに、一般に半導体として認識されない材料(例えば、誘電体および/または導電体)で作られるウエハ、の両方を指すが、通常、その上に提供された半導体材料を有するウエハを指す。シリコン・オン・インシュレータ(SOI)ウエハがその一例である。本開示で説明された装置および方法は、直径が200mm、300mm、および450mmの半導体ウエハを含む、様々な大きさの半導体ウエハの処理に用いられてよい。
本説明では、用語「プラズマリアクタ」は、半製品の半導体デバイス、ディスプレイ、または他の電子デバイスなどの基板の処理の間にプラズマを用いるリアクタを指す。プラズマリアクタは、基板上に材料を堆積させるリアクタ(「堆積リアクタ」)、基板から材料をエッチングするリアクタ(「エッチング装置」または「エッチングリアクタ」)、フォトレジストまたは他の材料をアッシングするリアクタ(「アッシャ」)などであってよい。堆積リアクタの例は、プラズマ強化原子層堆積(PEALD)リアクタ、および、プラズマ強化化学気相堆積(PECVD)リアクタを含む。エッチングチャンバの例は、プラズマアシストプロセスにおいて導電体および/または誘電体をエッチングするための様々なエッチングツールを含む。プラズマ(特に、水素プラズマ)に曝露されうる石英窓を用いるラムリサーチ株式会社の半導体デバイス製作ツールの例は、KIYO(商標登録)、GAMMA(商標登録)、およびSTRIKER(商標登録)である。
「プラズマ源」は、プラズマリアクタ内でプラズマを生成するための電力源である。通常、本開示の文脈では、プラズマ源は、プラズマリアクタの外部に位置し、石英窓は、プラズマ源とプラズマリアクタの内部との間に位置する。プラズマリアクタの内部は、プラズマが形成される場所であり、ウエハまたは他の試料が設置される場所である。プラズマ源は、多くの特定の周波数または周波数範囲の電力を提供してよい。特定の実施形態では、プラズマ源は、電磁スペクトルの無線周波数部分で電力を供給する。特定の実施形態では、プラズマ源は、電磁スペクトルのマイクロ波周波数部分で電力を供給する。プラズマ源は、プラズマリアクタ内部でプラズマを形成するための電力を生成するために動作中に通電される板、コイル、または他の構造体を含んでよい。プラズマ源の例は、以下に提示するいくつかの例で示される。
いくつかの実施形態では、プラズマリアクタは、マルチステーション型半導体処理システムの一部である。そのような実施形態では、各プロセスチャンバは、基板支持体、ならびに、任意で、1つ以上のチャンバ窓、および/または、プラズマ源を含んでよい。
「石英部品」は、プラズマリアクタの石英含有部品である。石英は、連続構造のケイ素酸素四面体のケイ素原子および酸素原子を含む二酸化ケイ素(SiO2)の形態であり、各酸素原子は、2つの四面体間で共有される。石英部品の例は、石英窓および石英インジェクタを含む。石英窓は、リアクタ内部の外に位置する無線周波数源からリアクタ内部に無線周波数電力を伝えるのに用いられてよい。リアクタ内部は、基板が設置されてプラズマで促進される反応によって処理される場所である。石英インジェクタは、1つ以上のガスをプラズマリアクタ内部に対して供給および除去するための1つ以上の流路を備える石英要素である。特定の実施形態では、石英インジェクタは、ポートの構造に加工された特に複雑な流路を有してよい。
「不安定なマイクロフィーチャ」は、プラズマ(特に、水素含有プラズマ)に接触する石英表面上に形成しうる小さなフィーチャである。特定の実施形態では、不安定な石英円筒形マイクロフィーチャは、約数マイクロメートルから数十マイクロメートルまでの間の大きさ(断面および/または長さ)であり、プラズマリアクタの通常の動作中に石英表面から容易に除去されうる。例えば、単純な振動または他の弱い機械力でマイクロフィーチャを除去できる。
「保護層」は、石英部品上に形成される層である。保護層は、プラズマ、または他の侵食環境(物理的もしくは化学的侵食環境など)に曝露されたときに、石英部品からの石英の除去を低減させうる。一例では、保護層は、酸化イットリウム層である、または酸化イットリウム層を含む。保護層は、石英部品上に保護層を堆積させる皮膜装置で形成されてよい。皮膜装置は、ALD、CVD、スパッタリング、または、保護層を石英部品上に形成する他の化学的プロセスもしくは物理的プロセスなど、様々な周知の堆積プロセスを用いてよい。
石英部品上の保護層の特定機能:
様々な実施形態では、保護層は、石英部品の上に提供される。保護層は、プラズマへの曝露、特に、水素含有プラズマまたは他の侵食環境への曝露によって、不安定なマイクロフィーチャの形成を防止または低減してよい。さらに、またはそれに代えて、保護層は、プラズマへの曝露、特に、水素含有プラズマまたは他の侵食環境への曝露によって、石英の侵食を防止または低減してよい。結果として、不安定なマイクロフィーチャは、石英部品の表面から剥がれ落ちず、製作された電子デバイスの品質を低下させない。場合によっては、石英部品は、劣化するとしてもよりゆっくりと劣化するため、頻繁に交換される必要がない。さらに、石英インジェクタなど、厳しい許容差を備えたフィーチャを有する石英部品の場合は、フィーチャの特性はプラズマへの曝露中は保護されるため、少なくとも保護層なしの石英部品の場合よりも長い動作期間中、石英部品の機能は意図されたままである。特定の実施形態では、保護層は酸化イットリウムである。
石英部品を有する装置:
先に説明および論述されたように、保護層は石英部品の上に提供され、石英部品は、誘導結合プラズマ(ICP)と呼ばれることもある変圧器結合プラズマ(TCP)装置などのプラズマリアクタに挿入されてよい、あるいはプラズマリアクタと統合されてよい。
図2は、本明細書の特定の実施形態を実行するのに適したICPエッチング装置200の断面図を概略的に示す。その例は、カリフォルニア州フレモント所在のラムリサーチ株式会社によって製造されたKIYO(商標登録)リアクタである。誘導結合プラズマ装置200は、チャンバ壁および窓211によって構造的に規定されたプロセスチャンバ201を備える。チャンバ壁201は、ステンレス鋼またはアルミニウムから製作されてよい。窓211は、本明細書で説明する石英部品であってよい。例えば、特定の実施形態では、窓211の少なくとも内側面は、本明細書で述べる保護層を含んでよい。保護層は、窓211がマイクロフィーチャを形成すること、あるいは侵食環境に曝露されたときに劣化することを防止してよい。装置の他の部品は、本明細書に述べる保護層を備えた石英部品であってよい。
任意の内側プラズマグリッド240は、処理チャンバ201全体を上部サブチャンバ202と下部サブチャンバ203とに分ける。特定の実施形態では、プラズマグリッド240は存在しない。チャック217は、装置底部付近の下部サブチャンバ203内に位置する。チャック217は、エッチングプロセスおよび/または堆積プロセスが施される半導体ウエハ219を受け取って保持するように構成される。チャック217は、存在するときは、ウエハ219を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)は、チャック217に存在するときは、チャック217を取り囲み、ウエハ219の上面と略平面である上面を有する。チャック217は、ウエハ219をチャックおよびデチャックするための静電電極を備えてもよい。この目的のために、フィルタおよびDCクランプ電源(図2には図示せず)が提供されてよい。ウエハ219をチャック217から持ち上げるための他の制御システムが提供されてよい。
チャック217は、RF電源223を用いて帯電されうる。RF電源223は、接続部227を介して整合回路221に接続される。バイアス電力は、基板をバイアスするためにチャック217に供給されてよい。様々な実施形態では、バイアス電力は、0V(無バイアス)から約2000Vの間、0Vから約1800Vの間、0Vから約1400Vの間、または約400Vから約1400Vの間の値に設定されてよい。整合回路221は、接続部224を介してチャック217に接続される。このようにして、RF電源223は、チャック217に接続される。
本明細書に開示のチャック217は、基板を処理してタンタルをエッチングするために、約−200℃から約600℃、または約−20℃から約240℃の範囲の温度で動作してよく、チャック217は、約0℃未満の温度に設定されてよい。温度は、プロセス動作、特定のレシピ、および使用ツールに応じるだろう。
プラズマ生成要素(まとめて、プラズマ源)は、窓211上方に位置するコイル233を含む。いくつかの実施形態では、コイルは用いられない。コイル233は、導電材料から製作され、少なくとも1つの完全な巻きを含む。図2に示されるコイル233の例は、3巻を含む。コイル233の断面は記号によって示され、「X」を有するコイルは、ページに回転して延びるが、「●」を有するコイルは、ページから回転して延出する。プラズマ生成要素は、RF電力をコイル233に供給するように構成されたRF電源241も含む。一般に、RF電源241は、接続部244を介して整合回路239に接続される。整合回路239は、接続部243を介してコイル233に接続される。このようにして、RF電源241は、コイル233に接続される。RF電源241は、改質動作中に約1%から約20%の間のデューティサイクルを用いて約10Hzから約200Hzの間の周波数でパルス化する、および/または、約1%から約20%の間のデューティサイクルを用いて約10Hzから約200Hzの間の周波数でパルス化するように構成されてよい。任意のファラデーシールド249は、コイル233と窓211との間に設置される。ファラデーシールド249は、コイル233に対して離間して維持される。ファラデーシールド249は、窓211の真上に配置される。コイル233、ファラデーシールド249、および窓211は、互いに実質的に平行になるようにそれぞれ構成される。ファラデーシールド249は、金属または他の種が処理チャンバ201の窓211に堆積するのを防止してよい。
プロセスガス(塩素、アルゴン、酸素など)は、上部チャンバ202に位置する1つ以上のメインガス流入口260を通じて、および/または、1つ以上のサイドガス流入口270を通じて、処理チャンバ201に流入されてよい。真空ポンプ(例えば、一段式または二段式の機械式ドライポンプ、および/または、ターボ分子ポンプ240)は、プロセスガスを処理チャンバ201から排出するのに用いられ、処理チャンバ201内の特定の圧力または圧力範囲を維持するのに用いられてよい。例えば、ポンプは、パージ動作中に処理チャンバ201を排気するのに用いられてよい。真空ポンプによって提供された真空環境の適用を選択的に制御するために、真空ポンプを処理チャンバ201に流体的に接続するのにバルブ制御された導管が用いられてよい。これは、プラズマ処理動作中に、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御による流れ制限デバイスを採用することで実行されてよい。同様に、容量結合プラズマ処理チャンバへの真空ポンプおよびバルブ制御された流体接続部が用いられてもよい。
装置の動作中に、1つ以上のプロセスガスは、ガス流入口260および/または270を通じて供給されてよい。特定の実施形態では、プロセスガスは、メインガス流入口260のみを通じて、または、サイドガス流入口270のみを通じて供給されてよい。場合によっては、図に示されるガス流入口は、より複雑なガス流入口(例えば、1つ以上のシャワーヘッド)に置き換えられてよい。ファラデーシールド249および/または任意のグリッド240は、処理チャンバ201へのプロセスガスの供給を可能にする内部流路および孔を備えてよい。ファラデーシールド249および任意のグリッド240のいずれかまたは両方は、プロセスガスの供給のためのシャワーヘッドとして機能してよい。いくつかの実施形態では、液体気化供給システムは、液体反応物または前駆体が蒸発すると蒸発した反応物または前駆体がガス流入口260および/または270を通じて処理チャンバ201に導入されるように、処理チャンバ201の上流に設置されてよい。液体前駆体の例は、SiCl4およびケイ素アミドを含む。
無線周波数電力は、コイル233を通じてRF電流を流すためにRF電源241からコイル233に供給される。コイル233を通じて流れるRF電流は、コイル233の周りに電磁場を生成する。電磁場は、上部サブチャンバ202で誘導電流を生成する。様々な生成イオンおよびラジカルのウエハ219との物理的および化学的相互作用は、ウエハ219のフィーチャを選択的にエッチングしてよい、および/または、ウエハ219上に層を堆積させてよい。
処理チャンバ201は、クリーンルームまたは製作設備に設置されるときは、設備(図示せず)に結合されてよい。設備は、プロセスガス、真空、温度制御、および環境微粒子制御を提供する配管を含む。これらの設備は、目的の製作設備に設置されるときは、処理チャンバ201に結合される。また、処理チャンバ201は、通常のオートメーションを用いてロボットに半導体ウエハを処理チャンバ201に搬入出させる搬送チャンバに結合されてよい。
いくつかの実施形態では、システムコントローラ230(1つ以上のフィジカルまたはロジックコントローラを含みうる)は、処理チャンバの動作の一部または全てを制御する。システムコントローラ230は、1つ以上のメモリデバイスおよび1つ以上のプロセッサを含んでよい。いくつかの実施形態では、開示の実施形態が実施されるときは、装置は、流量および持続期間を制御するための切替システムを含む。いくつかの実施形態では、装置は、約200msまで、または約740msまでの切替時間を有してよい。切替時間は、フローケミストリ、選択するレシピ、リアクタアーキテクチャ、および他の要素に応じてよい。
いくつかの実施形態では、コントローラ230は、上述の例の一部でありうるシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ基板支持体、ガス流システムなど)を含む半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後に、その動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品またはサブパーツを制御しうる「コントローラ」と呼ばれてよい。コントローラ230は、処理パラメータおよび/またはシステムの種類に応じて、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールもしくは他の搬送ツール、および/または、特定のシステムに接続もしくはインタフェースされたロードロックへのウエハ搬入出を含む、本明細書に開示のあらゆるプロセスを制御するようにプログラムされてよい。
特定の実施形態では、窓211などの石英窓は、平均して約40cmから90cmの間の長さ(長方形の場合)または直径(円形の場合)を有する。特定の実施形態では、石英窓は、平均して約20mmから60mmの間の厚さ(内面から外面)を有する。これらの値は、300mmの直径のウエハを処理するのに適してよい。200mmのウエハについては、直径を1.5分の1に縮小することが適切だろう。450mmのウエハについては、直径を1.5倍に拡大することが適切だろう。特定の実施形態では、石英窓は、実質的に平坦形状を有する。
いくつかの実施形態では、石英部品は、プロセスガスをプラズマリアクタに流入出させるように構成されたポートである。石英インジェクタは、リアクタ内でプラズマまたは他の侵食環境と接触するポートの少なくとも一部の上に、本明細書に記載の保護層を有する。特定の実施形態では、ポートは、図2の窓211のように、石英窓の中央または中央付近に取り付けられる。例えば、ガス流入口260は、保護層を備えた石英インジェクタであってよい。
いくつかの実施形態では、石英部品は石英管である。一例では、石英管は、プラズマの提供に適したマイクロ波プラズマ源に用いられる。図3に表すように、マイクロ波プラズマ源の部分301は、石英管302、ならびに、冷却流体入口304および出口308を有するコイル状冷却管305を備える。この図には、マイクロ波生成器(マグネトロンなど)、マイクロ波放射を生成器から石英管302に伝える導波管、および、石英管302を取り囲む任意のマイクロ波キャビティは示されていない。本文脈では、石英管302は、時としてプラズマ放電管と呼ばれる。動作中に、プロセスガスは、ガス(例えば、水素含有ガス)が軸312に沿った方向に進むマイクロ波との相互作用によってプラズマに励起される石英管302に流入する。結果として生じたプラズマは、基板を処理するためにリアクタで用いられる。マイクロ波プラズマ源の例は、その全てが本明細書に参照として援用される米国特許出願公開第2015/0318148A1号に記載される。
いくつかの実施形態では、石英部品は、石英ドームである。一例では、石英ドームは、リモートプラズマを生成するのに適したプラズマリアクタ400で用いられる。図4を参照されたい。図の実施形態では、リアクタ400は、石英窓402が一般にドーム型である、間接式または「リモート」式のプラズマツールである。ドームに巻きつけられているのは、ドーム型の石英窓402内の特定の領域でプラズマを生成するために活性化または給電されうる導電性誘導コイル410である。図4の実施形態におおむね沿う市販ツールは、ラムリサーチ株式会社によって提供されるGAMMA(商標登録)である。
特定の実施形態では、リアクタ400は、その全てが本明細書に参照として援用される、J.Vac.Sci.Technol.A、Vol.30、No.3、2012年5月/6月のThedjoisworoらによる「Characterization of hydrogen−plasma interactions with photoresist, silicon, and silicon nitride surface」にも図示および説明され、(1)プラズマ源408、(2)シャワーヘッド412、および(3)リアクションチャンバ424の3つの主部品を備える。プラズマ源408は、無線周波数(RF)電源から給電される誘導コイル410に取り囲まれたドーム型石英窓402と総称されてよい。特定の実施形態では、水素(H2)ガス(例えば、純度約99.9995%)は、指定の入口を通ってプラズマ源408に導入される。次に誘導コイル410は、ドーム型石英窓402内部で水素由来のプラズマを生成するために通電されてよい。
プラズマ内で生成された活性種は、石英窓402からシャワーヘッド412を通ってウエハ426の表面414に流されてよい。ウエハ426は、プラテン416の上に配置される。特定の実施形態では、シャワーヘッド412は、孔を備えた金属板として構成されてよい。シャワーヘッド412は、(a)ウエハ426がドーム型石英窓402の内部に含まれたプラズマに直接曝露されるのを防ぎ、(b)ウエハ表面414を衝撃から保護する全てのイオンおよび電子の再結合を誘導する。さらに、シャワーヘッド412は、帯電種(例えば、イオン、電子)をプラズマから奪うために接地されてよい。従って、シャワーヘッド412は、Hラジカルなどの主に中性種をシャワーヘッド412の孔を通ってウエハ表面414に到達させるリモートプラズマまたは下流プラズマ処理条件を作り出してよい。
シャワーヘッド412は、プラズマからの活性種を比較的広域に分配するため、ウエハ表面414全体にわたる均一なガス分配を補ってよい。ウエハ426は、リアクションチャンバ424内に含まれて、ウエハ426の温度を変化させる加熱素子を備えるように構成されうるプラテン416の上に載る。プラテン416の温度は、プラテン416に取り付けられた熱電対および温度コントローラによって制御されてよい。
通常の動作条件下では、プラテン416は、まず、所望の温度設定点に近づくために加熱されてよい。次に、水素ガスがリアクタ400に導入され、リアクションチャンバ424の圧力は、例えば、真空ポンプを動作させることによって所望の圧力に達するように低下されてよい。次に、RF電力が活性化されて、水素由来のプラズマが生成され、ウエハ426のエッチングまたはウエハ426上への堆積が開始してよい。
特定の実施形態では、石英ドームは、平均して約15cmから30cmの間の高さ(中空領域の開口部からドームの先端までの軸方向距離)を有する。特定の実施形態では、石英ドームは、平均して約20cmから45cmの間の構造体の底部または開口部の直径を有する。特定の実施形態では、石英ドームは、平均して約5mmから15mmの間の厚さ(内面から外面)を有する。
図5A〜5Bには、石英インジェクタの例が示されており、図5Aは斜視図、図5Bは断面図を示す。図示されるように、石英インジェクタ500は、プラズマリアクタに設置されたときにリアクタ内部に及ぶ底部508を備える。また、インジェクタ500は、ガス入口502および504、ならびに、ガス出口514および516を備える。インジェクタ500は、入念に加工された流路510(中央流路)および流路512(円周流路)も備える。それらの流路は共に、正確に制御されたガス流を提供する。あいにく、いずれかの流路、その入口、またはその出口が少しでも変更されると、流れるガスの性質は、意図する流れから大きく外れる可能性がある。
特定の実施形態では、石英インジェクタは、平均して約5cmから10cmの間の、一般に流路方向の長さを有する。特定の実施形態では、石英インジェクタは、平均して約2cmから4cmの間の、一般に流路に垂直方向の直径または最大横寸法を有する。特定の実施形態では、石英インジェクタの流路は、平均して約5mmから15mmの間の、一般に流れ方向に垂直方向の直径または最大横寸法を有する。
上記の説明は、プラズマリアクタ、特に半導体ウエハを処理するためのリアクタに設置された石英部品に重点を置いているが、開示の実施形態は、プラズマリアクタ、ならびに、科学的研究のため、およびプラズマとの反応を必ずしも含まない他の目的のためのプラズマチャンバなどの他の装置で用いられる、取り外された石英部品を含む。プラズマ装置の一部または全ての部品は、その石英部品よりも長い寿命を示す可能性がある。その場合、石英部品は、プラズマリアクタまたは他の装置を改造または改装するのに用いられてよい。つまり、石英部品は、交換可能部品または予備部品として用いられてよい。場合によっては、石英部品は、プラズマ装置の改造または改装のためのキットの一部として、他の消耗部品(基板台座および/またはシャワーヘッドなど)と併せて提供されてよい。
保護層:
上述のように、プラズマ(水素由来のプラズマ、または、水素ベースのプラズマ)処理中の石英表面の侵食に関連した様々な挑戦に取り組むために、保護層または保護膜が石英構造体の表面に提供される。石英部品は、単独でまたは主に石英である石英構造体、および、プラズマリアクタの動作中に石英部品の消費速度または劣化速度を低下させる、石英構造体上に配置された保護膜を含む。結果として、マイクロフィーチャは、リアクションチャンバ内での処理中にプラズマに曝露された石英部品の表面に形成しにくい、および、最終的にその表面から脱落しにくい。
図6Aは、規定の厚さ606Aを有する保護層604Aによって皮膜された石英構造体602Aを含む石英部品600Aの概略図を提供する。保護層604Aの厚さ606Aは、石英構造体602Aの厚さ608Aに対して誇張されている。当業者は、そのような誇張が例示のためであり、石英構造体602Aに対する保護層604Aの他の適した配向、相対厚さ、または構成が、石英部品600A上へのマイクロフィーチャの形成防止における保護層604Aの目的から逸脱することなく存在しうることを理解するだろう。
図6Bは、酸化イットリウム保護層602Bによって皮膜された石英構造体604Bを有する石英部品の断面600Bの顕微鏡写真を示す。図6Bに示されるように、酸化イットリウム保護層602Bは、石英構造体604Bを共形状に皮膜する。図6Bでは、顕微鏡写真606Bは、石英部品の上面を示し、特に、共形状に塗布された酸化イットリウム保護層を示す。特定の実施形態では、保護層は、石英構造体の凹凸(保護層の厚さ規模)面を共形状に皮膜する。図6Bに示されるように、保護層602Bは、石英構造体604Bの表面上のくぼみまたは凹みの輪郭に沿う。
特定の実施形態では、保護層は、酸化イットリウム(例えば、Y23)を含む。酸化イットリウムに関する有益な性質の例は、(1)水素(H2)ベースのプラズマによって生成された、または水素(H2)ベースプラズマに関連するHラジカルなどのエネルギ種または反応種による侵食に対する安定性と、(2)低RF損失の低熱膨張、低熱機械的応力、および様々な大きさの部品への適応の自由度を含む(が限定されない)所望の無線周波数(RF)結合材またはマイクロ波(MW)結合材として、多くの石英の利点を保護することと、(3)プラズマベースのエッチングに一般的に用いられる多くのプロセスガスとの互換性と、(4)例えば図6Bの顕微鏡写真に示される、共形状の均一で緻密な皮膜として存在する能力とを含む。
特定の実施形態では、保護層は、少なくとも酸化イットリウム約90質量%、または、少なくとも酸化イットリウム約99質量%を含む。存在しうる微量元素は、ナトリウム、マグネシウム、アルミニウムなどを含む。特定の実施形態では、保護層は、酸化イットリウム以外の材料から作られる。そのような他の材料の例は、希土類元素の酸化物およびフッ化物(例えば、YOxFy酸化フッ化物(X=0〜1.5、y=0〜3))を含む。
特定の実施形態では、保護層は、酸化イットリウムまたは他の材料の複数線状層を含んでよく、各々次の線状層は、元々のまたは最初の酸化イットリウム層より少ないまたは低い密度を有する。後に堆積する線状層も、原層より高いレベルの多孔性を示してもよい。
石英構造体への用途に適した酸化イットリウム保護層は、少なくとも約95%、または、約98%から100%の間の多孔性を有してよい。保護層の材料は、非結晶質、結晶質、微結晶質などであってよい、または、そのような形態のうちの2つ以上の組み合わせであってよい。特定の実施形態では、保護層は、約10nmから100nmの間(例えば、約30nmから70nmの間)の平均直径(または、他の最大寸法)を有する結晶子を含む。場合によっては、酸化イットリウム保護層は、立方体(440)および細長い(例えば、長さ約50nmで幅約30nm)結晶子を備えた多結晶質である。特定の実施形態では、保護層は、約100nmから50μmの間(例えば、約0.5μmから30μmの間)の厚さを有する。特定の実施形態では、保護層は、約0.03μmから0.3μmの間の表面粗度(Ra)を有する。
石英部品上に保護層を形成する方法:
上述のように、石英部品は、石英構造体を保護層で皮膜することによって製作されてよい。皮膜プロセスは、原子層堆積(ALD)または化学気相堆積(CVD)(プラズマ強化CVD(PECVD)を含む)などの制御可能なプロセスによって、酸化イットリウムなどの皮膜材料を堆積させることを含んでよい。
図7Aには、石英構造体上への酸化イットリウムを含む皮膜材料の堆積を説明する例示的なプロセスフロー700Aが示される。プロセスフロー700Aは、「開始」動作702Aで始まり、プラズマリアクタでの使用に適した大きさおよび形状を有する石英構造体がそこに受け取られる動作704Aにつながる。そのような石英構造体の適した例は、図2、図3A〜3C、図4、図5A、および図5Bに示される、石英インジェクタおよび他の石英部品を含んでよい。次に、動作706Aでは、プラズマリアクタ内部に含まれた石英構造体は、プラズマリアクタ用の石英部品を形成するために、本明細書でさらに説明されるALDによって酸化イットリウムを含む保護層で皮膜される。保護層は、石英構造体の大きさまたは形状を実質的に変化させない。動作706Aが完了すると、プロセスフロー700Aは、動作708Aに示されるように終了する。当業者は、動作702A〜708Aが例であって限定する意図がないこと、および、石英部品製作の範囲および精神から逸脱することなく、プロセスフロー700Aに示すその他の適した変形例が存在しうることを理解するだろう。
特定の実施形態では、堆積プロセスは、連続的な自己限定的反応を用いて材料の薄膜を堆積させる技術であるALDである。ALDプロセスは、表面媒介堆積反応を用いて、1回の完全サイクルによってそれぞれが形成される層ごとに膜を堆積する。ALDサイクルは、次の動作:(i)前駆体の供給/吸着、(ii)リアクションチャンバからの前駆体のパージ、(iii)第2の反応剤の供給、および、必要に応じてリアクションチャンバ内のプラズマ点火、ならびに(iv)リアクションチャンバからの副生成物のパージ、を含んでよい。基板表面上に膜を形成するための第2の反応剤と吸着した前駆体との間の反応は、膜組成物、および、不均一性、応力、ウェットエッチング速度、ドライエッチング速度、電気的特性(例えば、耐電圧および漏れ電流)などの特性に影響を及ぼす。
ALDプロセスの一例では、界面活性剤群を含む石英表面は、石英構造体を収容するチャンバに提供されるドーズ量で、イットリウム含有前駆体などの第1の前駆体の気相分配に曝される。この第1の前駆体の分子(第1の前駆体の化学吸着種および/または物理吸着分子を含む)は、表面に吸着する。化合物が本明細書に記載のように基板表面に吸着されるときは、吸着層は、化合物だけでなくその誘導体も含んでよいことを理解されたい。例えば、イットリウム含有前駆体の吸着層は、イットリウム含有前駆体だけでなくその誘導体を含んでよい。第1の前駆体ドーズ後に、チャンバは次に排気されて、主に吸着種または吸着種のみが残るように、気相のままの第1の前駆体のほとんどまたは全てが除去される。いくつかの実施形態では、チャンバは、完全に排気されなくてよい。例えば、反応が実質的に起こらないほど気相の第1の前駆体の分圧が十分に低くなるように、リアクションチャンバは排気されてよい。酸素含有ガスなどの第2の反応剤は、これらの分子のいくつかが表面に吸着した第1の前駆体と反応するようにチャンバに導入される。いくつかのプロセスでは、第2の反応剤は、吸着した第1の前駆体に接触するとすぐに反応する。他の実施形態では、第2の反応剤は、活性剤が施された(例えば、プラズマ、紫外線、および/または熱エネルギとの接触)後にのみ反応する。チャンバは、次に、非結合の第2の反応剤の分子を除去するために再び排気されてよい。上述のように、いくつかの実施形態では、チャンバは、完全に排気されなくてもよい。通常、膜を所望の厚さに形成するために数回のALDサイクルが連続して実行される。
いくつかの実施形態では、ALD法はプラズマの活性化を含む。本明細書で説明されるように、本明細書に記載のALD法および装置は、共形膜堆積(CFD)法であってよく、一般に、それらの全てが本明細書に参照として援用される、2011年4月11日出願の「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題した米国特許出願第13/084,399号(現在の米国特許第8,728,956号)、および、2011年4月11日出願の「SILICON NITRIDE FILMS AND METHODS」と題した米国特許出願第13/084,305号に記載される。
特定の実施形態では、酸化イットリウム保護層を合成するのに用いられる前駆体は、トリス(メチルシクロペンタジエニル)イットリウムを含むが、他の適した前駆体も用いられて、約200℃から450℃で行われる周期的なALDプロセスによって露出した石英表面上に形成されてよい。
酸化イットリウム保護層の形成における使用に適したALD前駆体は、1つ以上の次の特性を含む:制御可能な揮発性(例えば、前駆体種の効率的な移送について、例えば、最大適用熱源温度で約0.1Torr蒸気圧のラフリミット)、自己分解のないこと(例えば、自己限定的な膜成長機構の破壊を防止するため)、侵食性反応および安全な反応(例えば、表面反応の迅速な完了を提供することで、短いサイクルタイムに貢献し、より高い膜純度を提供し、不完全な気相反応に関連することが多い複雑化を回避するため)、膜成長を防止する競争反応経路がないことを確かにするため、膜または基板材料をエッチングしないこと、膜に対する溶解(例えば、自己限定的な膜成長機構を破壊するもの)がないこと、膜の腐食を避けるため、および、副生成物の再吸着によって引き起こされる膜成長率の低下を避けるために副生成物が未反応なこと、ならびに、各プロセスに固有の公差および性能期待値を満たすのに十分な純度。
酸化イットリウム保護層を形成するためにトリス(メチルシクロペンタジエニル)イットリウムが前駆体として構想されているが、必要に応じて前駆体リガンドを除去するのに用いられる水(H2O)と併せて、トリス(シクロペンタジエニル)イットリウム(III)やトリス(ブチルシクロペンタジエニル)イットリウムなどの他のシクロペンタジエニル前駆体が用いられてよい。特定の実施形態では、イットリウム(III)トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)、イットリウム(III)ヘキサフルオロアセチルアセトナート無水物、およびイットリウム(III)アセチルアセトネート水和物などのβ-ジケトネートは、水と併せて上述のシクロペンタジエニルの代替物として用いられてよい。
石英表面上に1ミクロンの厚さの酸化イットリウム層を形成するための一般的な合成法は、実質的に次のように進められてよい:(1)末端水酸基(−OH)の石英開始面が提供される、(2)イットリウム含有(例えば、Y(L)3)種が開始面に噴射されて、イットリウム含有単分子層を形成するために末端水酸基と反応させる、(3)任意でパージする、(4)酸化イットリウム層を残してイットリウム含有前駆体リガンドを除去するために水(H2O)が噴射される。ステップ(1)〜(4)は、酸化イットリウム層を所望の厚さに形成するため、必要に応じて繰り返される。特定の実施形態では、1ミクロンの厚さの酸化イットリウム膜を堆積させるのに約8,000サイクルが実行される。
特定の実施形態では、窓、ドーム、および/または、ポートなどの1つ以上の石英部品を保持するのに十分な大きさのホットウォールALDリアクタにおいて、100nm〜5,000nmの厚さの酸化イットリウム皮膜が堆積されうる。イットリウム前駆体および水は、順にリアクションチャンバに導入されうる。特定の実施形態では、ポンプ機能は、前駆体スイッチ(例えば、リアクションチャンバへの前駆体供給を担う)と統合されて、前駆体の排気を助けてよい、および、前駆体がクロストークするのを防いでよい(すなわち、ALDサイクルで用いられるガスは、不要な形でまたは未熟に相互作用しない、および、潜在的に分解しない)。クロストークは、よりCVDに似た堆積を引き起こしうる。
酸化イットリウム保護層の形成を担う自己限定的なALDプロセスは、約150℃〜500℃の温度で実行されてよい。特定の厚さにALD皮膜された後は、ALD皮膜石英部品は、多くの異なる用途のために様々なリアクションチャンバで用いられうる。
特定の実施形態では、石英上に形成された保護層の表面は、さらに、テクスチャ加工される、または粗面化される。石英部品製作プロセス700Aを踏まえ、さらなる製作プロセス700Bは、図7Bに示され、本明細書においてさらに説明される追加動作(すなわち、粗面化プロセスを実行するための動作708B)を含む。粗面化プロセスは、動作706Bの完了後に、酸化イットリウムを含む保護層を水と接触させることを含む。図のように、プロセスフロー700Bは、動作710Bで終了する。動作702B〜動作706Bは、プロセスフロー700Aの対応する動作702A〜動作706Aについて前述したのと実質的に同様の方法で実施されてよいため、ここでは詳細に説明しない。
プロセスフロー700Bに示すように、いくつかの実施形態では、テクスチャリングプロセスは、皮膜石英部品をテクスチャリング溶液に浸漬することを含む。通常、溶液は、特定の組成を有する。適した溶液の例は、酸含有溶液および水(例えば、脱イオン(DI)水)を含む。浸漬の温度および時間は、適切な度合いまたは質感を可能にするように選択されてよい。特定の実施形態では、脱イオン水溶液の温度は、約50℃から100℃の間(例えば、約80℃)である。特定の実施形態では、石英部品とテクスチャリング溶液との間の接触期間は、約4時間から19時間の間である。
酸化イットリウム保護層の粗面化プロセスの実施に関連する例示的な利点は、リアクタプレコートのより良い付着、および、早期に剥がれ落ちる可能性がある反応(例えば、エッチングプロセス)副生成物のより良い付着を含む。さらに、十分に付着していない場合は、リアクタプレコートも早期に剥がれ落ちる可能性がある。
特定の実施形態では、ALDによって形成された酸化イットリウム層の粗さは、脱イオン水の温度および浸漬時間を調整することによって、Ra=0.03μmから0.3μmに調整されうる。
結論:
前述の発明を実施するための形態では、開示の実施形態の十分な理解を提供するために、多くの特定の実施形態が記載された。しかし、当業者には明らかなように、開示の実施形態は、これらの特定の詳細なしに、または、代替の要素もしくはプロセスを用いることによって、実施されてよいだろう。他の例では、開示の実施形態の態様を不必要に曖昧にしないように、周知のプロセス、手段、および部品は、詳細には説明されていない。特定されない限り、数値範囲の端点は、記載値の約±5%のばらつきを含む。
前述の実施形態は、明確な理解のためにある程度詳細に説明されてきたが、付随の特許請求の範囲内で一定の変更および修正が行われてよいことは明らかだろう。本実施形態のプロセス、システム、および装置を実行する多くの代替の方法があることに注意されたい。従って、本実施形態は、限定的ではなく例示的と考えられ、本明細書に記載の詳細に限定されない。

Claims (42)

  1. 石英部品であって、
    a)プラズマリアクタの部品としての使用に適した大きさおよび形状を有する石英構造体と、
    b)取り付けられたときに動作中に前記プラズマリアクタ内で生成されるプラズマに曝露される前記石英構造体の少なくとも一面上に配置された酸化イットリウムを含む保護層と、を備え、
    前記保護層は、前記石英構造体の前記大きさまたは形状を実質的に変化させない、石英部品。
  2. 請求項1に記載の石英部品であって、
    前記石英部品は、前記プラズマリアクタの外部に位置するプラズマ源と前記プラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する、石英部品。
  3. 請求項1に記載の石英部品であって、
    前記石英部品は、無線周波数源またはマイクロ波源からの無線周波電力またはマイクロ波電力が通って前記プラズマリアクタの内部領域に入ることを可能にする位置で、前記プラズマリアクタに配置されるように構成された石英窓である、石英部品。
  4. 請求項3に記載の石英部品であって、
    前記石英窓は、約1cmから3cmの間の厚さを有する、石英部品。
  5. 請求項3ないし4に記載の石英部品であって、
    前記石英窓は、実質的に平坦であり、約40cmから100cmの間の直径または長さを有する、石英部品。
  6. 請求項1に記載の石英部品であって、
    前記石英部品は、ガスを前記プラズマリアクタの内部領域に導入するため、および/または、前記ガスを前記プラズマリアクタの前記内部領域から除去するための1つ以上の流路を備える石英インジェクタである、石英部品。
  7. 請求項1に記載の石英部品であって、
    前記石英部品は、中空ドームである、石英部品。
  8. 請求項1ないし7に記載の石英部品であって、
    前記保護層は、約10nmから10μmの間の厚さを有し、前記厚さは、前記石英部品の表面にわたる平均的な厚さである、石英部品。
  9. 請求項1ないし8に記載の石英部品であって、
    前記石英部品は、約0.01μmから2μmの間の面粗度Raを有し、前記面粗度は、前記石英部品の表面にわたる平均的な面粗度である、石英部品。
  10. 請求項1ないし9に記載の石英部品であって、
    前記保護層は、平均して約1%未満の気孔率を有する、石英部品。
  11. 請求項1ないし10に記載の石英部品であって、
    前記保護層は、平均して約10nmから100nmの間の最大断面寸法を有する酸化イットリウム結晶子を含む、石英部品。
  12. 請求項1ないし11に記載の石英部品であって、
    前記保護層は、少なくとも約90質量%の酸化イットリウムを含む、石英部品。
  13. 請求項1ないし11に記載の石英部品であって、
    前記保護層は、少なくとも約99質量%の酸化イットリウムを含む、石英部品。
  14. プラズマリアクタであって、
    プラズマ処理動作中に基板を保持するように構成された基板支持体と、
    動作中にプラズマが形成される前記プラズマリアクタの内部領域に電力を提供するように構成されたプラズマ源と、
    石英部品であって、
    a)前記プラズマリアクタの部品としての使用に適した大きさおよび形状を有する石英構造体と、
    b)取り付けられたときに前記プラズマリアクタ内で生成される前記プラズマに曝露される前記石英構造体の少なくとも一面上に配置された酸化イットリウムを含み、前記石英構造体の前記大きさまたは前記形状を実質的に変化させない保護層と、を備える石英部品と、
    前記プラズマ源に無線周波電力またはマイクロ波電力を前記プラズマリアクタの前記内部領域に提供させるためのプログラム命令を含むコントローラと、
    を備える、プラズマリアクタ。
  15. 請求項14に記載のプラズマリアクタであって、
    前記プラズマリアクタ内の前記石英部品は、動作中に前記プラズマが前記石英部品に接触または近接するだろう位置に配置される、プラズマリアクタ。
  16. 請求項14ないし15に記載のプラズマリアクタであって、
    前記プラズマは、水素含有プラズマである、プラズマリアクタ。
  17. 請求項14ないし16に記載のプラズマリアクタであって、
    前記プラズマリアクタは、エッチングツール、アッシングツール、および/または、堆積ツールである、プラズマリアクタ。
  18. 請求項14ないし17に記載のプラズマリアクタであって、
    前記プラズマ源は、コイルを含む、プラズマリアクタ。
  19. 請求項14ないし17に記載のプラズマリアクタであって、
    前記プラズマ源は、無線周波数発生器を含む、プラズマリアクタ。
  20. 請求項14ないし17に記載のプラズマリアクタであって、
    前記プラズマ源は、マイクロ波発生器を含む、プラズマリアクタ。
  21. 請求項14ないし20に記載のプラズマリアクタであって、
    前記石英部品は、前記プラズマ源と前記プラズマリアクタの前記内部領域との間で窓として機能する大きさおよび形状を有する、プラズマリアクタ。
  22. 請求項14ないし20に記載のプラズマリアクタであって、
    前記石英部品は、無線周波数源またはマイクロ波源からの前記無線周波電力または前記マイクロ波電力が通って前記プラズマリアクタの前記内部領域に入ることを可能にする位置で、前記プラズマリアクタに配置されるように構成された石英窓である、プラズマリアクタ。
  23. 請求項22に記載のプラズマリアクタであって、
    前記石英窓は、約1cmから3cmの間の厚さを有する、プラズマリアクタ。
  24. 請求項22ないし23に記載のプラズマリアクタであって、
    前記石英窓は、実質的に平坦であり、約40cmから100cmの間の直径または長さを有する、プラズマリアクタ。
  25. 請求項14ないし20に記載のプラズマリアクタであって、
    前記石英部品は、ガスを前記プラズマリアクタの前記内部領域に導入するため、および/または、前記ガスを前記プラズマリアクタの前記内部領域から除去するための1つ以上の流路を備える石英インジェクタである、プラズマリアクタ。
  26. 請求項14ないし20に記載のプラズマリアクタであって、
    前記石英部品は、中空ドームである、プラズマリアクタ。
  27. 請求項14ないし26に記載のプラズマリアクタであって、
    前記保護層は、約10nmから10μmの間の厚さを有し、前記厚さは、前記石英部品の表面にわたる平均的な厚さである、プラズマリアクタ。
  28. 請求項14ないし27に記載のプラズマリアクタであって、
    前記石英部品は、約0.01μmから2μmの間の面粗度Raを有し、前記面粗度は、前記石英部品の表面にわたる平均的な面粗度である、プラズマリアクタ。
  29. 請求項14ないし28に記載のプラズマリアクタであって、
    前記保護層は、平均して約1%未満の気孔率を有する、プラズマリアクタ。
  30. 請求項14ないし29に記載のプラズマリアクタであって、
    前記保護層は、平均して約10nmから100nmの間の最大断面寸法を有する酸化イットリウム結晶子を含む、プラズマリアクタ。
  31. 請求項14ないし30に記載のプラズマリアクタであって、
    前記保護層は、少なくとも約90質量%の酸化イットリウムを含む、プラズマリアクタ。
  32. 請求項14ないし30に記載のプラズマリアクタであって、
    前記保護層は、少なくとも約99質量%の酸化イットリウムを含む、プラズマリアクタ。
  33. 方法であって、
    (a)石英構造体を受け取ることと、
    (b)酸化イットリウムを含む保護層で前記石英構造体を皮膜して、プラズマリアクタ用の石英部品を形成することと、を含み、
    前記石英部品は、前記プラズマリアクタで用いられるべき部品を形成するのに適した大きさおよび形状を有する、方法。
  34. 請求項33に記載の方法であって、
    前記保護層は、前記石英構造体の前記大きさまたは前記形状を実質的に変化させない、方法。
  35. 請求項33ないし34に記載の方法であって、さらに、
    動作中にプラズマが前記石英部品に接触または近接するだろう位置で、前記石英部品を前記プラズマリアクタに取り付けることを含む、方法。
  36. 請求項33ないし35に記載の方法であって、
    前記プラズマリアクタは、エッチングツール、アッシングツール、および/または、堆積ツールである、方法。
  37. 請求項33ないし36に記載の方法であって、
    前記石英部品は、前記プラズマリアクタの外部に位置するプラズマ源と前記プラズマリアクタの内部領域との間で窓として機能する大きさおよび形状を有する、方法。
  38. 請求項33ないし37に記載の方法であって、
    酸化イットリウムを含む保護層で前記石英構造体を皮膜することは、前記保護層を原子層堆積によって堆積させることを含む、方法。
  39. 請求項33ないし38に記載の方法であって、さらに、
    前記保護層を粗面化することを含む、方法。
  40. 請求項39に記載の方法であって、
    前記保護層を粗面化することは、前記保護層を脱イオン水に曝すことを含む、方法。
  41. 請求項40に記載の方法であって、
    前記脱イオン水は、約50℃から100℃の間の温度を有する、方法。
  42. 請求項33ないし41に記載の方法であって、
    前記プラズマリアクタで用いられるべき前記部品は、窓またはインジェクタである、方法。
JP2019037234A 2018-03-02 2019-03-01 保護皮膜を備える石英部品 Pending JP2019153789A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/910,739 2018-03-02
US15/910,739 US11087961B2 (en) 2018-03-02 2018-03-02 Quartz component with protective coating

Publications (2)

Publication Number Publication Date
JP2019153789A true JP2019153789A (ja) 2019-09-12
JP2019153789A5 JP2019153789A5 (ja) 2023-09-26

Family

ID=67768706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019037234A Pending JP2019153789A (ja) 2018-03-02 2019-03-01 保護皮膜を備える石英部品

Country Status (4)

Country Link
US (2) US11087961B2 (ja)
JP (1) JP2019153789A (ja)
KR (1) KR20190104899A (ja)
CN (1) CN110223945A (ja)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
WO2021065919A1 (ja) * 2019-09-30 2021-04-08 京セラ株式会社 プラズマ処理装置用部材およびこれを備えるプラズマ処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220099004A (ko) 2021-01-05 2022-07-12 삼성전자주식회사 웨이퍼 처리 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0893402A (ja) * 1994-09-16 1996-04-09 Praxair St Technol Inc マクロクラック構造を有するジルコニア基材先端を備えたブレード及びその製造法
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2003297809A (ja) * 2002-03-29 2003-10-17 Shinetsu Quartz Prod Co Ltd プラズマエッチング装置用部材及びその製造方法
WO2006064898A1 (ja) * 2004-12-17 2006-06-22 Tokyo Electron Limited プラズマ処理装置
JP2010171041A (ja) * 2009-01-20 2010-08-05 Ulvac Japan Ltd 真空処理装置
US20110056626A1 (en) * 2009-09-10 2011-03-10 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
JP2014201445A (ja) * 2013-03-31 2014-10-27 AvanStrate株式会社 ディスプレイ用ガラス基板、その製造方法及びそれを用いたディスプレイ用パネルの製造方法
JP2017085079A (ja) * 2015-10-27 2017-05-18 Nltテクノロジー株式会社 薄膜トランジスタ、表示装置及び薄膜トランジスタの製造方法
JP2017114724A (ja) * 2015-12-24 2017-06-29 Toto株式会社 耐プラズマ性部材
US20170314124A1 (en) * 2016-04-28 2017-11-02 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP2017199907A (ja) * 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US8017062B2 (en) 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9373501B2 (en) * 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
US9653266B2 (en) 2014-03-27 2017-05-16 Mks Instruments, Inc. Microwave plasma applicator with improved power uniformity
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
US10388492B2 (en) 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10068984B2 (en) * 2016-04-27 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing high-k dielectric using HfO/Ti/Hfo layers

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0893402A (ja) * 1994-09-16 1996-04-09 Praxair St Technol Inc マクロクラック構造を有するジルコニア基材先端を備えたブレード及びその製造法
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2001226773A (ja) * 1999-12-10 2001-08-21 Tokyo Electron Ltd 処理装置およびそれに用いられる耐食性部材
JP2003297809A (ja) * 2002-03-29 2003-10-17 Shinetsu Quartz Prod Co Ltd プラズマエッチング装置用部材及びその製造方法
WO2006064898A1 (ja) * 2004-12-17 2006-06-22 Tokyo Electron Limited プラズマ処理装置
JP2010171041A (ja) * 2009-01-20 2010-08-05 Ulvac Japan Ltd 真空処理装置
US20110056626A1 (en) * 2009-09-10 2011-03-10 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
JP3178295U (ja) * 2009-09-10 2012-09-13 ラム リサーチ コーポレーション プラズマ処理装置の交換式上部チャンバ部品
JP2014201445A (ja) * 2013-03-31 2014-10-27 AvanStrate株式会社 ディスプレイ用ガラス基板、その製造方法及びそれを用いたディスプレイ用パネルの製造方法
JP2017085079A (ja) * 2015-10-27 2017-05-18 Nltテクノロジー株式会社 薄膜トランジスタ、表示装置及び薄膜トランジスタの製造方法
JP2017114724A (ja) * 2015-12-24 2017-06-29 Toto株式会社 耐プラズマ性部材
JP2017199907A (ja) * 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
US20170314124A1 (en) * 2016-04-28 2017-11-02 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP2017224804A (ja) * 2016-04-28 2017-12-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ用セラミック被覆石英リッド

Also Published As

Publication number Publication date
US11087961B2 (en) 2021-08-10
US20210343510A1 (en) 2021-11-04
US20190272981A1 (en) 2019-09-05
CN110223945A (zh) 2019-09-10
KR20190104899A (ko) 2019-09-11

Similar Documents

Publication Publication Date Title
JP2019153789A (ja) 保護皮膜を備える石英部品
TWI760555B (zh) 蝕刻方法
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
US9659791B2 (en) Metal removal with reduced surface roughness
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
TWI493622B (zh) 改善電漿輔助化學氣相沈積(pecvd)膜的程序控制及膜保形性之方法
US6417111B2 (en) Plasma processing apparatus
WO2020017328A1 (ja) プラズマ処理装置およびプラズマ処理方法
US20180308680A1 (en) Selective deposition with atomic layer etch reset
KR102094833B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2017192249A1 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
EP3007205A1 (en) Workpiece processing method
TW201705273A (zh) 蝕刻有機膜之方法
WO2021050168A1 (en) Methods for forming a protective coating on processing chamber surfaces or components
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR20150004274A (ko) 기판 처리 장치
JP2009099919A (ja) 処理装置及びその使用方法
JP2016086046A (ja) プラズマ処理方法
JP2006005147A (ja) 基板処理装置
JP6895352B2 (ja) 被加工物を処理する方法
KR100387900B1 (ko) 박막 공정 장치의 크리닝 방법 및 이를 적용한 박막 공정장치
WO2022059440A1 (ja) エッチング方法、プラズマ処理装置、及び基板処理システム
US20220098729A1 (en) System and method of cleaning process chambers using plasma

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230314

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230608

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20230914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240307

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240625