JP2006501608A - プラズマ処理システムにおける改良された堆積シールドのための方法及び装置 - Google Patents

プラズマ処理システムにおける改良された堆積シールドのための方法及び装置 Download PDF

Info

Publication number
JP2006501608A
JP2006501608A JP2004539380A JP2004539380A JP2006501608A JP 2006501608 A JP2006501608 A JP 2006501608A JP 2004539380 A JP2004539380 A JP 2004539380A JP 2004539380 A JP2004539380 A JP 2004539380A JP 2006501608 A JP2006501608 A JP 2006501608A
Authority
JP
Japan
Prior art keywords
deposition shield
opening
protective barrier
exposed
improved
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004539380A
Other languages
English (en)
Inventor
秀仁 三枝
均 高瀬
康至 三橋
博之 中山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006501608A publication Critical patent/JP2006501608A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】プラズマ処理システム用の改良された堆積シールドを提供する。
【解決手段】プラズマ処理システムの処理空間12を包囲するための改良された堆積シールド14は、内面82、外面84、上端面86、及び下端面88を有する円筒体を具備する。下端面88は端部リップ面120を具備する。堆積シールド14の複数の露出面145に保護バリア150が結合される。露出面145は、内面82、上端面86、及び端部リップ面120を含む。

Description

本発明は、プラズマ処理システム用の改良されたコンポーネントに関し、特に、チャンバ壁を保護するため、プラズマ処理システムにおいて使用される堆積シールドに関する。
本件出願は、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置」)、代理人番号226272US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号226274US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号228411US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された上部電極板のための方法及び装置」)、代理人番号225277US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された光学窓堆積シールドのための方法及び装置」)、代理人番号226276US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたベローズシールドのための方法及び装置」)、代理人番号226277US6YAと、に関連している。これらの全ての明細書の内容全体は参考文献として本願に全体的に組み込まれる。
半導体産業における集積回路(IC)の作製では、基板から材料を除去するため並びに基板に対して材料を堆積するのに必要な表面での化学反応を、プラズマ反応室内で創出すると共に支援するため、プラズマが一般的に使用される。通常、プラズマは真空状態のプラズマ反応室内で形成され、これは、供給された処理ガスとのイオン化衝突を維持するのに十分なエネルギにまで電子を加熱することにより行われる。更に、加熱された電子は、解離衝突を維持するのに十分なエネルギを具備することができる。従って、チャンバ内で行われる特定の処理(例えば、材料を基板から除去するエッチング処理、または材料を基板に付加する堆積処理)に適した荷電種及び化学反応種の密度が発生されるように、所定の条件(例えば、チャンバ圧力、ガス流量等)における特定のガスの組み合わせが選択される。
基板表面でプラズマ処理システムの機能(即ち、材料のエッチング、材料の堆積等)を実行するため、荷電種(イオン等)及び化学反応種の密度を形成することが必要となる。しかし、処理チャンバの内部におけるその他のコンポーネント表面は、物理的及び化学的に活性なプラズマに露出され、時間の経過と共に腐食する。プラズマ処理システムにおける露出されたコンポーネントの腐食は、プラズマ処理性能を漸進的に劣化させると共に、最終的には、システムを完全に故障させる原因となる。
処理プラズマに対する露出により継続する損傷を最少にするため、処理プラズマに対する露出を維持することで知られているプラズマ処理システムのコンポーネントが、保護バリアで被覆される。例えば、アルミニウムから作製されるコンポーネントは、プラズマに対してより耐性がある酸化アルミニウムの表面層を生成するように陽極酸化されることができる。他の例では、シリコン、石英、アルミナ、カーボン、またはシリコンカーバイドから作製されるような、消耗可能または交換可能なコンポーネントが、より貴重なコンポーネント(頻繁に交換するとコストを増加させる)の表面を保護するために処理チャンバ内に挿入されることができる。更に、望ましくない汚染物質や不純物などが処理プラズマに導入され且つ場合によっては基板上に形成されるデバイスにまで導入されることを最少化することができる表面材料を選択することが望ましい。
上述の両方の場合において、保護バリアの一体性または保護バリア作製の一体性や、交換可能なコンポーネントの消耗性により、保護被覆の故障は不可避なもので、プラズマ処理システムの頻繁なメンテナンスが必要となる。この頻繁なメンテナンスは、プラズマ処理の休止時間や新しいプラズマ処理チャンバコンポーネントに関連するコストを発生させ、それが過大なものとなる可能性がある。
本発明は、プラズマ処理システムにおいて処理空間を包囲するための改良された堆積シールドを提供し、この堆積シールドの構造及び製法は、上述の欠点を有効に解決する。
本発明のある視点によれば、プラズマ処理システムに結合することができる堆積シールドが提供される。この堆積シールドは、内面、外面、上端面、及び下端面を具備する円筒体を具備する。下端面は端部リップ面を具備する。
本発明の別の視点によれば、複数の締結レセプタ具備する堆積シールドが更に提供される。締結レセプタの夫々は、堆積シールドの上端面及び下端面に結合されると共に、堆積シールドをプラズマ処理システムに取り付けるように構成される。
本発明の別の視点によれば、堆積シールドを通して処理空間にアクセスするための開口を具備する堆積シールドが更に提供される。開口は、第1の開口面、第2の開口面、及び係合面を具備することができ、係合面は、締結面を具備する1つ以上の螺子穴を具備することができる。
本発明の別の視点によれば、堆積シールドは、処理プラズマに面する堆積シールドの複数の露出面上に形成された保護バリアを更に具備する。
本発明の別の視点によれば、堆積シールドの露出面は、堆積シールドの内面、堆積シールドの上端面、及び堆積シールドの下端面の端部リップ面を含む。
本発明の別の視点によれば、堆積シールドは、他の露出面として、堆積シールドにおいて、開口の第1の開口面、及び締結面を除く開口の係合面などを含むことができる。
本発明によれば、プラズマ処理システムの堆積シールドを作製する方法が提供される。この方法は、堆積シールドを作製するステップと、堆積シールド上に表面陽極酸化層を形成するために堆積シールドを陽極酸化するステップと、表面陽極酸化層を除去するために堆積シールド上の露出面を機械加工するステップと、堆積シールドの露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムの堆積シールドを作製する別の方法が提供される。この方法は、堆積シールドを製作するステップと、表面陽極酸化層の形成を阻止するために堆積シールド上の露出面をマスクするステップと、堆積シールド上に表面陽極酸化層を形成するために堆積シールドを陽極酸化するステップと、堆積シールドの露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムの堆積シールドを作製する別の方法が提供される。この方法は、堆積シールドを作製するステップと、堆積シールドの露出面上に保護バリアを形成するステップと、を具備する。
本発明はまた、プラズマに実際には露出されない他の部分を機械加工するステップを随意選択的に含むことができる。このような部分は、陽極酸化層のない接触を提供するために機械加工される可能性がある(例えば、良好な機械的接触または電気的接触を提供するため)。
本発明はまた、プラズマに実際には露出されない他の部分をマスクするステップを随意選択的に含むことができる。このような部分は、陽極酸化層のない接触を提供するためにマスクされる可能性がある(例えば、良好な機械的接触または電気的接触を提供するため)。
本発明によれば、更に、保護バリアが形成されるむき出しの面を提供するために、機械加工及びマスキングを組み合わせた方法が提供される。
本発明のこれら及びその他の利点は、添付図面を参照した以下の本発明の例示的な実施形態の詳細な説明から更に明白になり、容易に認識されるであろう。
本発明の1実施形態によれば、プラズマ処理システム1は、図1に示されるように、プラズマ処理チャンバ10、上部アセンブリ20、電極板24、基板35を支持するための基板ホルダ30、プラズマ処理チャンバ10内に減圧雰囲気11を与えるための真空ポンプ(図示せず)に結合されたポンプダクト40を具備する。プラズマ処理チャンバ10は、基板35付近の処理空間12内における処理プラズマの形成を容易にする。プラズマ処理システム1は、200mmの基板、300mmの基板、または、それより大きい基板を処理するように構成することができる。
図示の実施形態において、上部アセンブリ20は、カバー、ガス注入アセンブリ、及び上部電極インピーダンス整合ネットワークの少なくとも1つを具備することができる。例えば、電極板24は、RF源に結合されることができる。別の実施形態において、上部アセンブリ20は、カバーと電極板24とを具備し、電極板24は、プラズマ処理チャンバ10の電位に等しい電位に維持される。例えば、プラズマ処理チャンバ10と、上部アセンブリ20と、電極板24とは、接地電位に電気的に接続されることができる。
プラズマ処理チャンバ10は、例えば、処理空間12内の処理プラズマからプラズマ処理チャンバ10を保護するための堆積シールド14と、光学的な覗きポート16とを更に具備することができる。光学的な覗きポート16は、光学窓堆積シールド18の後側に結合された光学窓17と、光学窓17を光学窓堆積シールド18に結合するように構成された光学窓フランジ19とを具備することができる。Oリングのようなシール部材が、光学窓フランジ19と光学窓17との間、光学窓17と光学窓堆積シールド18との間、及び光学窓堆積シールド18とプラズマ処理チャンバ10との間に夫々配設されることができる。光学的な覗きポート16により、例えば、処理空間12内の処理プラズマからの光放出をモニタすることが可能となる。
基板ホルダ30は、例えば、ベローズ52により包囲された垂直移動装置50を更に具備する。ベローズ52は、基板ホルダ30とプラズマ処理チャンバ10とに結合されると共に、プラズマ処理チャンバ10内の減圧雰囲気11から垂直移動装置50をシールする。更に、ベローズシールド54が、例えば、基板ホルダ30に結合され、ベローズ52を処理プラズマから保護するように構成することができる。基板ホルダ30は、例えば、更にフォーカスリング60及びシールドリング62の少なくとも一方に結合されることができる。更に、バッフル板64が、基板ホルダ30の周囲を囲んで延在することができる。
基板35は、例えば、ロボット型の基板搬送システムによって、スロット弁(図示せず)及びチャンバ供給通路(図示せず)を通してプラズマ処理チャンバ10に対して搬送されることができる。基板35は、基板ホルダ30内に配設された基板リフトピン(図示せず)により受け取られ、そこに内蔵された装置によって機械的に移動される。基板35は、基板搬送システムから受け取られると、基板ホルダ30の上面まで下降される。
基板35は、例えば、静電クランプシステムを介して基板ホルダ30に固定されることができる。更に、基板ホルダ30は、例えば、再循環冷媒流を含む冷却システムを具備することができる。冷媒流は、基板ホルダ30から熱を受取り、その熱を熱交換システム(図示せず)へ搬送するか、或いは、加熱のため、熱交換システムから熱を搬送する。更に、例えば、基板35と基板ホルダ30との間のガスギャップ熱伝導を改善するため、バックサイドガスシステムを介して基板35の裏面に対してガスを供給することができる。このようなシステムは、上昇または下降された温度において基板の温度制御が必要とされるときに利用可能となる。別の実施形態において、抵抗加熱素子のような加熱素子や熱電ヒータ/クーラを含むことができる。
図1に示される実施形態において、基板ホルダ30は、電極を有することができ、その電極を通ってRF電力が処理空間12内の処理プラズマに結合される。例えば、基板ホルダ30は、RF発生器(図示せず)からインピーダンス整合ネットワーク(図示せず)を介して基板ホルダ30へ伝送されるRF電力により、RF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成し且つ維持するために電子を加熱するように動作することができる。この構成では、システムは、反応性イオンエッチング(RIE)の反応室として動作することができ、チャンバと上部ガス注入電極とは、接地面として動作する。RFバイアスの典型的な周波数は、1MHz乃至100MHzの範囲であり、望ましくは13.56MHzである。プラズマ処理用のRFシステムは、当業者によく知られている。
代わりに、処理空間12内で形成される処理プラズマは、平行平板、容量結合プラズマ(CCP)ソース、誘導結合プラズマ(ICP)ソース、それらの任意の組み合わせを使用し、DC磁石システムが有る状態または無い状態で形成されることができる。代わりに、処理空間12内の処理プラズマは、電子サイクロトロン共鳴(ECR)を使用して形成されることができる。更に別の実施形態において、処理空間12内の処理プラズマは、ヘリコン波の発射によって形成される。更に別の実施形態において、処理空間12内の処理プラズマは、伝播する表面波から形成される。
図2(平面図)及び図3(部分断面図)に示される本発明の例示された実施形態を参照すると、堆積シールド14は、内面82、外面84、上端面86、及び下端面88を有する円筒体を具備することができる。更に、例えば、堆積シールド14の内面82から外面84への距離によって決定される堆積シールド14の厚さは、1乃至50mmの範囲とすることができる。この厚さは、望ましくは5乃至20mmの範囲で、より望ましくは10mm以上とすることができる。
堆積シールド14は、例えば、複数の締結レセプタ100を更に具備することができる。各締結レセプタ100は、上端面86及び下端面88に結合されると共に、堆積シールド14をプラズマ処理システム1に取付けるために(ボルトのような)締結具(図示せず)を受けるように構成される。図4は、堆積シールド14及び複数の締結レセプタ100の1つを示す拡大断面図である。締結レセプタ100は、入口領域102、入口凹部104、出口貫通孔106、及び内部締結面108を具備することができる。更に、内部締結面108は、例えば、第1の入口面110、第1のリップ面112、第2の入口面114、第2のリップ面116、及び出口面118を具備することができる。例えば、堆積シールド14内に形成される締結レセプタ100の数は、0乃至100の範囲とすることができる。締結レセプタ100の数は、望ましくは5乃至20の範囲であり、より望ましくは8以上である。
更に、堆積シールド14は、(ボルトのような)締結具(図示せず)を受けるように構成された1つ以上のレセプタ螺子穴119を具備することができ、これにより、堆積シールド14をプラズマ処理チャンバ10のコンポーネント、例えば上部アセンブリ20に取り付けるようにする。例えば、堆積シールド14内に形成されるレセプタ螺子穴119の数は、0乃至20の範囲とすることができる。レセプタ螺子穴119の数は、望ましくは1乃至10の範囲であり、より望ましくは2以上である。
図5は、堆積シールド14の下端面88の拡大図であり、ここで、下端面88は、図示のように、端部リップ面120を更に具備する。
図3を再度参照すると、堆積シールド14は、例えば、堆積シールド14を通して処理空間12にアクセスできるように、開口130を更に具備することができる。本発明の1実施形態において、このような開口130は、堆積シールド14に形成されない。別の実施形態において、このような開口130は、堆積シールドプラグ(図示せず)及び図1に示すような光学窓堆積シールドの少なくとも一方を挿入できるように、堆積シールド14に形成される。更なる詳細のため、本件出願と同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された光学窓堆積シールドのための方法及び装置」、代理人番号第226276US6YAが参考文献としてここに組み込まれる。
図6及び図7は、堆積シールド14の開口130の拡大図と開口130の主軸に沿った開口130の断面図とを夫々示す。図7に示されるように、開口130は、堆積シールド14の内面82に結合された第1の開口面132と、堆積シールド14の外面84に結合された第2の開口面134と、第1の開口面132及び第2の開口面134に結合された係合面136とを具備することができる。更に、係合面136は、チャンバプラグ(図示せず)及び光学窓堆積シールド(図示せず)の少なくとも一方を堆積シールド14に結合するため、螺子締結具(図示せず)を受けるための少なくとも1つの螺子穴138を具備することができる。
図8に示されるように、係合面136は、螺子穴138に近接すると共に螺子穴138内に延在する締結面140を更に具備する。更に、例えば、(主軸に沿った)開口130の幅は、1乃至100mmの範囲とすることができる。この幅は、望ましくは10乃至40mmの範囲であり、より望ましくは25mm以上である。更に、例えば、(非主軸に沿った)開口130の高さは、1乃至100mmの範囲とすることができる。この高さは、望ましくは10乃至40mmの範囲であり、より望ましくは15mm以上である。
図2乃至図8を参照すると、堆積シールド14は、堆積シールド14の複数の露出面145上に形成された保護バリア150を更に具備する。本発明の1実施形態において、露出面145は、堆積シールド14の内面82、堆積シールド14の上端面86、及び堆積シールド14の下端面88の端部リップ面120を含むことができる。代わりに、露出面は、開口130の第1の開口面132、及び開口130の締結面140を除く係合面136を更に含むことができる。
本発明の1実施形態において、保護バリア150は、Alのようなアルミニウム酸化物を含む化合物を具備することができる。本発明の別の実施形態において、保護バリア150は、AlとYとの混合物を具備することができる。本発明の別の実施形態において、保護バリア150は、III族元素(周期律表の第III族)及びランタノイド系元素の少なくとも一方を具備することができる。本発明の別の実施形態において、III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備することができる。本発明の別の実施形態において、ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備することができる。本発明の別の実施形態において、保護バリア150を形成する化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備することができる。
本発明の1実施形態において、堆積シールド14上に形成される保護バリア150は、最小の厚さを有する。この最小の厚さは、露出面145の少なくとも1つの面内で一定のものとして特定されることができる。別の実施形態において、この最小の厚さは、露出面145の面内で変化されることができる。代わりに、この最小の厚さは、露出面の第1の部分上では一定であり、露出面の第2の部分上では変化されるものであってもよい。例えば、厚さの変化は、湾曲面上、角部上、或いは穴内で発生する可能性がある。例えば、この最小の厚さは、0.5ミクロン乃至500ミクロンの範囲とすることができる。この最小の厚さは、望ましくは100ミクロン乃至200ミクロンの範囲であり、より望ましくは120ミクロン以上である。
図9は、本発明の1実施形態に従って、図1に記載のプラズマ処理システムの堆積シールドを作製する方法を示す。フロー図300は、ステップ310で開始し、このステップで(上述のような)堆積シールド14を作製する。堆積シールドの作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。堆積シールドは、例えば、アルミニウムから作製されることができる。
ステップ320で、堆積シールドは、表面陽極酸化層を形成するために陽極酸化される。例えば、堆積シールドをアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備することができる。アルミニウムの部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ330で、表面陽極酸化層は、標準的な機械加工技術を使用して露出面145から除去される。本発明の1実施形態において、露出面は、堆積シールドの内面、堆積シールドの上端面、及び堆積シールドの下端面の端部リップ面を含むことができる。代わりに、露出面は、開口の第1の開口面、及び堆積シールドの開口の締結面を除く係合面を更に含むことができる。このステップ中または別のステップ中、他の非露出面もまた機械加工されることができる。このような非露出面は、これらの部分間或いはこれらが係合する部分との間で、良好な機械的または電気的接触を提供するために機械加工される可能性がある。
ステップ340で、保護バリア150が、露出面145上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図10は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムの堆積シールドを作製する方法を示す。フロー図400は、ステップ410で開始し、このステップで(上述のような)堆積シールド14を作製する。堆積シールドの作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。堆積シールド14は、例えば、アルミニウムから作製されることができる。
ステップ420で、露出面が、そこに表面陽極酸化層が形成されることを防止するためにマスクされる。このステップ中または別のステップ中、他の非露出面もまたマスクされることができる。このような非露出面は、これらの部分間或いはこれらが係合する部分との間で、良好な機械的または電気的接触を提供するためにマスクされる可能性がある。表面のマスキング及び脱マスキングは、表面コーティング及び表面陽極酸化の当業者によく知られている。
ステップ430で、堆積シールドが陽極処理され、マスクされていない残りの表面に表面陽極酸化層が形成される。例えば、堆積シールドをアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備することができる。アルミニウム部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ440で、保護バリア150が露出面145上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図11は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムの堆積シールドを作製する方法を示す。フロー図500は、ステップ510で開始し、このステップで(上述のような)堆積シールド14を作製する。堆積シールドの作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。堆積シールドは、例えば、アルミニウムから作製されることができる。
ステップ520で、保護バリアが、堆積シールドの露出面上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。本発明の1実施形態において、露出面は、堆積シールドの内面、堆積シールドの上端面、及び堆積シールドの下端面の端部リップ面を含むことができる。代わりに、露出面は、開口の第1の開口面、及び堆積シールドの開口の締結面を除く係合面を更に含むことができる。代わりに、露出面は、堆積シールド上の全ての表面を含むことができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図9乃至図11を参照して説明した露出面145上に保護バリア150を形成する処理は、機械加工及びマスキングの組み合わせを利用するように変更することができる。この変更例の処理において、少なくとも1つの露出面145が、陽極酸化層が形成されることを防止するためにマスクされる一方、他の露出面145が陽極酸化される。次に、マスクされない露出面145は機械加工され、また、マスクされた露出面は脱マスクされる。次に、全ての露出面145上に保護バリア150を形成することが可能となる。上述のように、露出面ではない他の表面もまた、この方法の間に機械加工することができる(例えば、陽極酸化層がある場合よりも良好な機械的または電気的接触を提供するため)。
本発明のある例示的な実施形態だけについて詳細に前述したが、当業者によれば、本発明の新規な教示及び利点から実質的に逸脱せずに、例示的な実施形態に対して多数の変更が可能であることが認識できるであろう。従って、このような変更の全ては、本発明の技術的範囲内に含まれることを意図している。
本発明の1実施形態に係る、堆積シールドを具備するプラズマ処理システムの簡単化されたブロック図。 本発明の1実施形態に係る、プラズマ処理システム用の堆積シールドの平面図。 本発明の1実施形態に係る、プラズマ処理システム用の堆積シールドの部分断面図。 本発明の1実施形態に係る、プラズマ処理システム用の堆積シールドの拡大断面図。 本発明の1実施形態に係る、プラズマ処理システム用の堆積シールドの下端面の拡大図。 本発明の1実施形態に係る、プラズマ処理システム用の堆積シールドの開口の拡大図。 本発明の1実施形態に係る、プラズマ処理システムのための開口をその主軸に沿って示す拡大断面図。 本発明の他の実施形態に係る、プラズマ処理システムのための開口の係合面及び締結面の断面図。 本発明の1実施形態に従って、プラズマ処理システム用の堆積シールドを作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の堆積シールドを作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の堆積シールドを作製する方法を示す図。

Claims (55)

  1. プラズマ処理システムの処理空間を包囲するための改良された堆積シールドであって、
    内面、外面、上端面、及び下端面を具備する円筒体と、前記下端面は端部リップ面を具備することと、
    前記堆積シールドの複数の露出面に結合された保護バリアと、前記露出面は、前記内面、前記上端面、及び前記下端面の前記端部リップ面を含むことと、
    を具備する改良された堆積シールド。
  2. 前記堆積シールドは、前記堆積シールドの前記上端面及び前記下端面に結合されると共に、前記堆積シールドを前記プラズマ処理システムに結合するために、締結具を受けるように構成された複数の締結レセプタを更に具備する請求項1に記載の改良された堆積シールド。
  3. 前記複数の締結レセプタの夫々は、入口領域、入口凹部、出口貫通孔、及び内部締結面を具備する請求項2に記載の改良された堆積シールド。
  4. 前記複数の締結レセプタの夫々の前記内部締結面は、第1の入口面、第1のリップ面、第2の入口面、第2のリップ面、及び出口面を具備する請求項3に記載の改良された堆積シールド。
  5. 前記堆積シールドは、前記堆積シールドを通して前記処理空間にアクセスするための開口を更に具備する請求項1に記載の改良された堆積シールド。
  6. 前記開口は、第1の開口面、第2の開口面、及び係合面を具備する請求項5に記載の改良された堆積シールド。
  7. 前記係合面は、1つ以上の螺子穴及びこれに結合された締結面を具備する請求項6に記載の改良された堆積シールド。
  8. 金属を更に具備する請求項1に記載の改良された堆積シールド。
  9. 前記金属は、アルミニウムを具備する請求項8に記載の改良された堆積シールド。
  10. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項1に記載の改良された堆積シールド。
  11. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項10に記載の改良された堆積シールド。
  12. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項10に記載の改良された堆積シールド。
  13. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項1に記載の改良された堆積シールド。
  14. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項1に記載の改良された堆積シールド。
  15. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項1に記載の改良された堆積シールド。
  16. 前記複数の露出面は、前記堆積シールドにおいて、前記開口の前記第1の開口面、及び前記開口の前記係合面を更に含む請求項6に記載の改良された堆積シールド。
  17. 前記複数の露出面は、前記堆積シールドにおいて、前記開口の前記第1の開口面、及び前記締結面を除く前記開口の前記係合面を更に含む請求項7に記載の改良された堆積シールド。
  18. 前記複数の露出面は、前記開口の前記第2の開口面を更に含む請求項17に記載の改良された堆積シールド。
  19. 前記複数の露出面は、前記堆積シールドおいて、前記開口の前記第1の開口面、及び前記締結面を含む前記開口の前記係合面を更に含む請求項7に記載の改良された堆積シールド。
  20. 前記複数の露出面は、前記開口の前記第2の開口面を更に含む請求項19に記載の改良された堆積シールド。
  21. 前記外面は、陽極酸化層を具備する請求項19に記載の改良された堆積シールド。
  22. 前記第2の開口面は、陽極酸化層を具備する請求項19に記載の改良された堆積シールド。
  23. 前記係合面は、金属表面を具備する請求項19に記載の改良された堆積シールド。
  24. 前記円筒体は、200mmより大きい直径を有する請求項1に記載の改良された堆積シールド。
  25. プラズマ処理システムにおいて処理空間を包囲するための改良された堆積シールドを作製する方法であって、
    堆積シールドを作製するステップと、前記堆積シールドは、内面、外面、上端面、及び下端面を具備し、前記下端面は端部リップ面を具備することと、
    露出面上に保護バリアを形成するステップと、前記露出面は、前記堆積シールドの前記内面、前記堆積シールドの前記上端面、及び前記堆積シールドの前記下端面の前記端部リップ面を含むことと、
    を具備する方法。
  26. 前記堆積シールド上に表面陽極酸化層を形成するために前記堆積シールドを陽極酸化するステップと、
    前記露出面上の前記表面陽極酸化層を除去するステップと、
    を更に具備する請求項25に記載の方法。
  27. 前記除去するステップは、機械加工、平滑化、研磨、及び研削の少なくとも1つを具備する請求項26に記載の方法。
  28. 表面陽極酸化層の形成を阻止するために前記堆積シールドの前記露出面をマスクするステップと、
    前記堆積シールドのマスクされてない表面上に表面陽極酸化層を形成するために前記堆積シールドを陽極酸化するステップと、
    前記露出面を脱マスクするステップと、
    を更に具備する請求項25に記載の方法。
  29. 前記作製するステップは、機械加工、コーティング、マスキング、脱マスキング、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項25に記載の方法。
  30. 前記形成するステップは、スプレー、加熱、及び冷却の少なくとも1つを具備する請求項25に記載の方法。
  31. 前記保護バリアを平滑化するステップを更に具備する請求項25に記載の方法。
  32. 前記堆積シールドは、前記堆積シールドの前記上端面及び前記下端面に結合されると共に、前記堆積シールドを前記プラズマ処理システムに結合するために、締結具を受けるように構成された複数の締結レセプタを更に具備する請求項25に記載の方法。
  33. 前記複数の締結レセプタの夫々は、入口領域、入口凹部、出口貫通孔、及び内部締結面を具備する請求項32に記載の方法。
  34. 前記複数の締結レセプタの夫々の前記内部締結面は、第1の入口面、第1のリップ面、第2の入口面、第2のリップ面、及び出口面を具備する請求項33に記載の方法。
  35. 前記第1の入口面、前記第1のリップ面、前記第2の入口面、前記第2のリップ面、及び前記出口面を機械加工するステップを更に具備する請求項34に記載の方法。
  36. 前記堆積シールドは、前記堆積シールドを通して前記処理空間にアクセスするための開口を更に具備する請求項25に記載の方法。
  37. 前記開口は、第1の開口面、第2の開口面、及び係合面を具備する請求項36に記載の方法。
  38. 前記係合面は、1つ以上の螺子穴及びこれに結合された締結面を具備する請求項37に記載の方法。
  39. 前記複数の露出面は、前記開口の前記第1の開口面、及び前記開口の前記係合面の前記締結面を更に含む請求項38に記載の方法。
  40. 前記複数の露出面は、前記開口の前記第1の開口面、及び前記締結面を除く前記開口の前記係合面を更に含む請求項38に記載の方法。
  41. 前記複数の露出面は、前記開口の前記第2の開口面を更に含む請求項37に記載の方法。
  42. 金属を更に具備する請求項25に記載の方法。
  43. 前記金属は、アルミニウムを具備する請求項42に記載の方法。
  44. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項25に記載の方法。
  45. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項44に記載の方法。
  46. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項44に記載の方法。
  47. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項25に記載の方法。
  48. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項25に記載の方法。
  49. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項25に記載の方法。
  50. プラズマ処理システムにおいて処理空間を包囲するための改良された堆積シールドを作製する方法であって、
    堆積シールドを作製するステップと、前記堆積シールドは、内面、外面、上端面、及び下端面を具備し、前記下端面は端部リップ面を具備することと、
    前記堆積シールド上に表面陽極酸化層を形成するために前記堆積シールドを陽極酸化するステップと、
    前記表面陽極酸化層を除去するために前記堆積シールド上の露出面を機械加工するステップと、前記露出面は、前記堆積シールドの前記内面、前記堆積シールドの前記上端面、及び前記堆積シールドの前記下端面の前記端部リップ面を含むことと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  51. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項50に記載の方法。
  52. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項50に記載の方法。
  53. プラズマ処理システムにおいて処理空間を包囲するための改良された堆積シールドを作製する方法であって、
    堆積シールドを作製するステップと、前記堆積シールドは、内面、外面、上端面、及び下端面を具備し、前記下端面は端部リップ面を具備することと、
    表面陽極酸化層の形成を阻止するために前記堆積シールド上の露出面をマスクするステップと、前記露出面は、前記堆積シールドの前記内面、前記堆積シールドの前記上端面、及び前記堆積シールドの前記下端面の前記端部リップ面を含むことと、
    前記堆積シールド上に表面陽極酸化層を形成するために前記堆積シールドを陽極酸化するステップと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  54. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項53に記載の方法。
  55. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項53に記載の方法。
JP2004539380A 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された堆積シールドのための方法及び装置 Pending JP2006501608A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,353 US7137353B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved deposition shield in a plasma processing system
PCT/IB2003/004808 WO2004030426A2 (en) 2002-09-30 2003-09-29 Improved deposition shield in a plasma processing system,and methods of manufacture of such shield

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010224101A Division JP5165039B2 (ja) 2002-09-30 2010-10-01 プラズマ処理システムにおける改良された堆積シールド

Publications (1)

Publication Number Publication Date
JP2006501608A true JP2006501608A (ja) 2006-01-12

Family

ID=32029490

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004539380A Pending JP2006501608A (ja) 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された堆積シールドのための方法及び装置
JP2010224101A Expired - Fee Related JP5165039B2 (ja) 2002-09-30 2010-10-01 プラズマ処理システムにおける改良された堆積シールド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010224101A Expired - Fee Related JP5165039B2 (ja) 2002-09-30 2010-10-01 プラズマ処理システムにおける改良された堆積シールド

Country Status (6)

Country Link
US (2) US7137353B2 (ja)
JP (2) JP2006501608A (ja)
KR (1) KR100704069B1 (ja)
CN (1) CN100466153C (ja)
AU (1) AU2003272031A1 (ja)
WO (1) WO2004030426A2 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US7241345B2 (en) * 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP2008177479A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd プラズマ処理装置の部品及びその製造方法
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090056625A1 (en) * 2007-08-29 2009-03-05 United Microelectronics Corp. Shielding member of processing system
JP5351625B2 (ja) * 2009-06-11 2013-11-27 三菱重工業株式会社 プラズマ処理装置
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
CN102586724B (zh) * 2012-02-28 2014-08-13 南京航空航天大学 钛-铝系金属间化合物表面渗镀铝的方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
CN107275438B (zh) * 2014-12-24 2019-04-05 新奥光伏能源有限公司 异质结太阳能电池的制作方法以及用于生产电池的模具
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10786821B2 (en) * 2018-07-31 2020-09-29 Nordson Corporation Systems and methods for coating with shear and moisture sensitive materials
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08172080A (ja) * 1994-08-15 1996-07-02 Applied Materials Inc 壁腐食に対する表面保護手段を有するプラズマエッチングリアクタ
JPH09320798A (ja) * 1996-05-27 1997-12-12 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH1070109A (ja) * 1996-06-20 1998-03-10 Applied Materials Inc プラズマチャンバ
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
JPH11312646A (ja) * 1998-04-28 1999-11-09 Tokai Carbon Co Ltd チャンバー内壁保護部材及びプラズマ処理装置
WO2000019481A2 (en) * 1998-09-25 2000-04-06 Lam Research Corporation Low contamination high density plasma processing chamber and methods for processing a semiconductor substrate
WO2000039837A1 (en) * 1998-12-28 2000-07-06 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP2001226773A (ja) * 1999-12-10 2001-08-21 Tokyo Electron Ltd 処理装置およびそれに用いられる耐食性部材
JP2002510858A (ja) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
EP0508731B1 (en) 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Use of an oxide coating to enhance the resistance to oxidation and corrosion of a silicon nitride based gas turbine blade
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
DE69330719T2 (de) * 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
DE69603627T2 (de) * 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
DE19710977A1 (de) 1997-03-17 1998-09-24 Clariant Gmbh Illustrationstiefdruckfarbe auf Basis von C.I.Pigment Yellow 139
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
KR100226708B1 (ko) 1997-06-26 1999-10-15 전주범 직교분할대역 채널 등화기의 계수 메모리를 위한 어드레스 발생 장치
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JP3779444B2 (ja) 1997-07-28 2006-05-31 富士写真フイルム株式会社 赤外線レーザ用ポジ型感光性組成物
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
ATE246570T1 (de) 2000-03-15 2003-08-15 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
CN1220989C (zh) * 2001-02-07 2005-09-28 株式会社新王磁材 制造铁基稀土磁体用合金材料的方法
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08172080A (ja) * 1994-08-15 1996-07-02 Applied Materials Inc 壁腐食に対する表面保護手段を有するプラズマエッチングリアクタ
JPH09320798A (ja) * 1996-05-27 1997-12-12 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH1070109A (ja) * 1996-06-20 1998-03-10 Applied Materials Inc プラズマチャンバ
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
JP2002510858A (ja) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ
JPH11312646A (ja) * 1998-04-28 1999-11-09 Tokai Carbon Co Ltd チャンバー内壁保護部材及びプラズマ処理装置
WO2000019481A2 (en) * 1998-09-25 2000-04-06 Lam Research Corporation Low contamination high density plasma processing chamber and methods for processing a semiconductor substrate
WO2000039837A1 (en) * 1998-12-28 2000-07-06 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP2001226773A (ja) * 1999-12-10 2001-08-21 Tokyo Electron Ltd 処理装置およびそれに用いられる耐食性部材

Also Published As

Publication number Publication date
AU2003272031A8 (en) 2004-04-19
US8117986B2 (en) 2012-02-21
WO2004030426A3 (en) 2004-12-29
CN100466153C (zh) 2009-03-04
US7137353B2 (en) 2006-11-21
JP5165039B2 (ja) 2013-03-21
US20070028839A1 (en) 2007-02-08
WO2004030426A2 (en) 2004-04-08
KR100704069B1 (ko) 2007-04-06
AU2003272031A1 (en) 2004-04-19
JP2011049173A (ja) 2011-03-10
KR20050061497A (ko) 2005-06-22
US20040060657A1 (en) 2004-04-01
CN1685465A (zh) 2005-10-19

Similar Documents

Publication Publication Date Title
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
JP4585316B2 (ja) プラズマ処理システムにおける改良された光学窓堆積シールドのための装置
JP4627660B2 (ja) プラズマ処理システムにおける改良されたバッフル板のための装置
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
JP4627659B2 (ja) プラズマ処理システムにおける改良されたベローズシールドのための装置
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
JP2006501610A (ja) プラズマ処理システムにおける改良されたバッフル板のための方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110104