JP4627660B2 - プラズマ処理システムにおける改良されたバッフル板のための装置 - Google Patents

プラズマ処理システムにおける改良されたバッフル板のための装置 Download PDF

Info

Publication number
JP4627660B2
JP4627660B2 JP2004539388A JP2004539388A JP4627660B2 JP 4627660 B2 JP4627660 B2 JP 4627660B2 JP 2004539388 A JP2004539388 A JP 2004539388A JP 2004539388 A JP2004539388 A JP 2004539388A JP 4627660 B2 JP4627660 B2 JP 4627660B2
Authority
JP
Japan
Prior art keywords
baffle plate
plasma processing
improved baffle
passage
improved
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004539388A
Other languages
English (en)
Other versions
JP2006501647A (ja
Inventor
伸也 西本
康至 三橋
博之 中山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006501647A publication Critical patent/JP2006501647A/ja
Application granted granted Critical
Publication of JP4627660B2 publication Critical patent/JP4627660B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Treatment Of Fiber Materials (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、プラズマ処理システム用の改良されたコンポーネントに関し、特に、基板ホルダを包囲するように、プラズマ処理システムにおいて使用されるバッフル板に関する。
本件出願は、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置」)、代理人番号226272US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された上部電極板のための方法及び装置」)、代理人番号225277US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号228411US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された堆積シールドのための方法及び装置」)、代理人番号226275US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された光学窓堆積シールドのための方法及び装置」)、代理人番号226276US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたベローズシールドのための方法及び装置」)、代理人番号226277US6YAと、に関連している。これらの全ての明細書の内容全体は参考文献として本願に全体的に組み込まれる。
半導体産業における集積回路(IC)の作製では、基板から材料を除去するため並びに基板に対して材料を堆積するのに必要な表面での化学反応を、プラズマ反応室内で創出すると共に支援するため、プラズマが一般的に使用される。通常、プラズマは真空状態のプラズマ反応室内で形成され、これは、供給された処理ガスとのイオン化衝突を維持するのに十分なエネルギにまで電子を加熱することにより行われる。更に、加熱された電子は、解離衝突を維持するのに十分なエネルギを具備することができる。従って、チャンバ内で行われる特定の処理(例えば、材料を基板から除去するエッチング処理、または材料を基板に付加する堆積処理)に適した荷電種及び化学反応種の密度が発生されるように、所定の条件(例えば、チャンバ圧力、ガス流量等)における特定のガスの組み合わせが選択される。
基板表面でプラズマ処理システムの機能(即ち、材料のエッチング、材料の堆積等)を実行するため、荷電種(イオン等)及び化学反応種の密度を形成することが必要となる。しかし、処理チャンバの内部におけるその他のコンポーネント表面は、物理的及び化学的に活性なプラズマに露出され、時間の経過と共に腐食する。プラズマ処理システムにおける露出されたコンポーネントの腐食は、プラズマ処理性能を漸進的に劣化させると共に、最終的には、システムを完全に故障させる原因となる。
処理プラズマに対する露出により継続する損傷を最少にするため、処理プラズマに対する露出を維持することで知られているプラズマ処理システムのコンポーネントが、保護バリアで被覆される。例えば、アルミニウムから作製されるコンポーネントは、プラズマに対してより耐性がある酸化アルミニウムの表面層を生成するように陽極酸化されることができる。他の例では、シリコン、石英、アルミナ、カーボン、またはシリコンカーバイドから作製されるような、消耗可能または交換可能なコンポーネントが、より貴重なコンポーネント(頻繁に交換するとコストを増加させる)の表面を保護するために処理チャンバ内に挿入されることができる。更に、望ましくない汚染物質や不純物などが処理プラズマに導入され且つ場合によっては基板上に形成されるデバイスにまで導入されることを最少化することができる表面材料を選択することが望ましい。
上述の両方の場合において、保護バリアの一体性または保護バリア作製の一体性や、交換可能なコンポーネントの消耗性により、保護被覆の故障は不可避なもので、プラズマ処理システムの頻繁なメンテナンスが必要となる。この頻繁なメンテナンスは、プラズマ処理の休止時間や新しいプラズマ処理チャンバコンポーネントに関連するコストを発生させ、それが過大なものとなる可能性がある。
本発明は、プラズマ処理システム用の改良されたバッフル板を提供し、このバッフル板の構造及び製法は、上述の欠点を有効に解決する。
本発明のある視点によれば、上面、下面、内側半径方向縁部、及び外側半径方向縁部を有するリングを具備するバッフル板が提供される。上面は、外側半径方向縁部に近接する第1の係合面を具備することができる。下面は、外側半径方向縁部に近接する第2の係合面と、内側半径方向縁部に近接する複数の締結具係合面とを具備することができる。バッフル板は、上面及び下面に結合されると共にガスが流れることができるように構成された少なくとも1つの通路を更に具備することができる。少なくとも1つの通路は、内側通路面を具備することができる。
本発明のある視点によれば、複数の締結レセプタを具備するバッフル板が更に提供される。各締結レセプタは、上面及び下面に結合されると共に、バッフル板をプラズマ処理システムに結合するために、締結具を受けるように構成される。各締結レセプタは、入口凹部、出口貫通孔、及び内側レセプタ面を具備することができる。
本発明のある視点によれば、複数の装着貫通孔を具備するバッフル板が更に提供される。各装着貫通孔は、上面及び下面に結合されると共に、バッフル板をプラズマ処理システムに結合するために、締結具を受けるように構成される。
本発明のある視点によれば、バッフル板は、処理プラズマに面するバッフル板の露出面上に形成された保護バリアを更に具備する。
本発明の別の視点によれば、バッフル板の露出面は、第1の係合面を除くバッフル板の上面と、第2の係合面及び複数の締結具係合面を除くバッフル板の下面と、上面及び下面に隣接する内側通路面とを含む。本発明はまた、複数の締結レセプタの夫々の第1の入口面と、複数の締結レセプタの夫々の第1のリップ面とを、露出面としてみなすことを随意選択的に含むことができる。
本発明によれば、プラズマ処理システムのバッフル板を作製する方法が更に提供される。この方法は、バッフル板を作製するステップと、バッフル板上に表面陽極酸化層を形成するためにバッフル板を陽極酸化するステップと、表面陽極酸化層を除去するためにバッフル板上の露出面を機械加工するステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムのバッフル板を作製する別の方法が提供される。この方法は、バッフル板を製作するステップと、表面陽極酸化層の形成を阻止するためにバッフル板上の露出面をマスクするステップと、バッフル板上に表面陽極酸化層を形成するためにバッフル板を陽極酸化するステップと、露出面を脱マスクするステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムのバッフル板を作製する別の方法が提供される。この方法は、バッフル板を作製するステップと、複数の露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、機械加工及びマスキングを組み合わせて保護バリアを受けるための露出面を処理し、次に露出面上に保護バリアを形成する方法を含むことができる。例えば、2つの露出面が陽極酸化前にマスクされ、他の2つの表面が陽極酸化後に機械加工されることにより、保護バリアが形成される4つの露出面を生成することができる。
上述のいずれの方法も、露出面ではない、陽極酸化された(または被覆された)表面を機械加工するステップを随意選択的に含むことができる(例えば、機械加工された表面が他の部分と係合する部位に、むき出しの金属接触を得るため)。
本発明のこれら及びその他の利点は、添付図面を参照した以下の本発明の例示的な実施形態の詳細な説明から更に明白になり、容易に認識されるであろう。
本発明の1実施形態によれば、プラズマ処理システム1は、図1に示されるように、プラズマ処理チャンバ10、上部アセンブリ20、上部電極22、基板35を支持するための基板ホルダ30、プラズマ処理チャンバ10内に減圧雰囲気11を与えるための真空ポンプ(図示せず)に結合されたポンプダクト40を具備する。プラズマ処理チャンバ10は、基板35付近の処理空間12内における処理プラズマの形成を容易にする。プラズマ処理システム1は、200mmの基板、300mmの基板、または、それより大きい基板を処理するように構成することができる。
図示の実施形態において、上部電極22は、堆積シールド26(図1)を有する電極板24(図1)を具備する。別の実施形態において、上部アセンブリ20は、カバー、ガス注入アセンブリ、及び上部電極インピーダンス整合ネットワークの少なくとも1つを具備することができる。例えば、上部電極22は、RF源に結合されることができる。別の実施形態において、上部アセンブリ20は、上部電極22に結合されたカバーを具備し、上部電極22は、プラズマ処理チャンバ10の電位に等しい電位に維持される。例えば、プラズマ処理チャンバ10と、上部アセンブリ20と、上部電極22とは、接地電位に電気的に接続されることができる。
プラズマ処理チャンバ10は、例えば、上部電極22の堆積シールド26に結合された光学的な覗きポート16を更に具備することができる。光学的な覗きポート16は、光学窓堆積シールド18の後側に結合された光学窓17と、光学窓17を光学窓堆積シールド18に結合するように構成された光学窓フランジ19とを具備することができる。Oリングのようなシール部材が、光学窓フランジ19と光学窓17との間、光学窓17と光学窓堆積シールド18との間、及び光学窓堆積シールド18とプラズマ処理チャンバ10との間に夫々配設されることができる。光学的な覗きポート16により、例えば、処理空間12内の処理プラズマからの光放出をモニタすることが可能となる。
基板ホルダ30は、例えば、ベローズ52により包囲された垂直移動装置50を更に具備する。ベローズ52は、基板ホルダ30とプラズマ処理チャンバ10とに結合されると共に、プラズマ処理チャンバ10内の減圧雰囲気11から垂直移動装置50をシールする。更に、ベローズシールド54が、例えば、基板ホルダ30に結合され、ベローズ52を処理プラズマから保護するように構成することができる。基板ホルダ30は、例えば、更にフォーカスリング60及びシールドリング62の少なくとも一方に結合されることができる。更に、バッフル板64が、基板ホルダ30の周囲を囲んで延在することができる。
基板35は、例えば、ロボット型の基板搬送システムによって、スロット弁(図示せず)及びチャンバ供給通路(図示せず)を通してプラズマ処理チャンバ10に対して搬送されることができる。基板35は、基板ホルダ30内に配設された基板リフトピン(図示せず)により受け取られ、そこに内蔵された装置によって機械的に移動される。基板35は、基板搬送システムから受け取られると、基板ホルダ30の上面まで下降される。
基板35は、例えば、静電クランプシステムを介して基板ホルダ30に固定されることができる。更に、基板ホルダ30は、例えば、再循環冷媒流を含む冷却システムを具備することができる。冷媒流は、基板ホルダ30から熱を受取り、その熱を熱交換システム(図示せず)へ搬送するか、或いは、加熱のため、熱交換システムから熱を搬送する。更に、例えば、基板35と基板ホルダ30との間のガスギャップ熱伝導を改善するため、バックサイドガスシステムを介して基板35の裏面に対してガスを供給することができる。このようなシステムは、上昇または下降された温度において基板の温度制御が必要とされるときに利用可能となる。別の実施形態において、抵抗加熱素子のような加熱素子や熱電ヒータ/クーラを含むことができる。
図1に示される実施形態において、基板ホルダ30は、電極を有することができ、その電極を通ってRF電力が処理空間12内の処理プラズマに結合される。例えば、基板ホルダ30は、RF発生器(図示せず)からインピーダンス整合ネットワーク(図示せず)を介して基板ホルダ30へ伝送されるRF電力により、RF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成し且つ維持するために電子を加熱するように動作することができる。この構成では、システムは、反応性イオンエッチング(RIE)の反応室として動作することができ、チャンバと上部ガス注入電極とは、接地面として動作する。RFバイアスの典型的な周波数は、1MHz乃至100MHzの範囲であり、望ましくは13.56MHzである。プラズマ処理用のRFシステムは、当業者によく知られている。
代わりに、処理空間12内で形成される処理プラズマは、平行平板、容量結合プラズマ(CCP)ソース、誘導結合プラズマ(ICP)ソース、それらの任意の組み合わせを使用し、磁石システムが有る状態または無い状態で形成されることができる。代わりに、処理空間12内の処理プラズマは、電子サイクロトロン共鳴(ECR)を使用して形成されることができる。更に別の実施形態において、処理空間12内の処理プラズマは、ヘリコン波の発射によって形成される。更に別の実施形態において、処理空間12内の処理プラズマは、伝播する表面波から形成される。
図2(平面図)及び図3(断面図)に示される本発明の例示された実施形態を参照すると、バッフル板64は、上面82、下面84、内側半径方向縁部86、及び外側半径方向縁部88を具備するリングを形成することができる。また、バッフル板64は、上面82及び下面84に結合されると共に、ガスが流れることができるように構成された少なくとも1つの通路90を更に具備することができる。
図4は、1つの通路90の拡大図であり、この拡大図は、通路90の非主軸に沿った横断面を示す。各通路90は、バッフル板64の上面82及び下面84に隣接する内側通路面92を具備する。例えば、少なくとも1つの通路90は、各通路90に近接する上面82及び下面84間の距離によって決定される長さを具備することができ、これは、1乃至50mmの寸法範囲を有する。この長さは、望ましくは1乃至10mmの寸法範囲にあり、より望ましくは5mm以上である。
図5A、図5B、及び図5Cは、夫々、バッフル板64の上面82における通路90の代表的な断面図、通路90を主軸に沿って示す他の拡大断面図、及びバッフル板64の下面84における通路90の代表的な断面図である。
図1及び図5Bに示される例示の実施形態において、少なくとも1つの通路90は、半径方向に整一したスロットを具備することができる。本発明の別の実施形態において、スロットは、方位方向(azimuthal direction)に整一することができる。本発明の別の実施形態において、スロットは、傾斜されることによって半径方向及び方位方向に部分的に整一することができる。別の実施形態において、通路90は、その整一手法の組み合わせを具備することができる、代わりに、通路は、少なくとも1つのオリフィスを含むことができる。
更に、図5A及び図5Bを参照すると、上面82における通路90の断面図は、入口断面積91aを示し、これは、下面84における通路90の断面図に示される各出口断面積91bよりも大きい。代わりに、断面積は、例えば、上面82から下面84まで、通路の長さ方向に沿って一定とすることができる。代わりに、下面84における通路90の出口断面積91bは、例えば、上面82における通路90の各入口断面積91aよりも大きな断面積を具備することができる。
更に、図5B及び図2を再度参照すると、バッフル板64は、例えば、複数の締結レセプタ100を更に具備することができる。各締結レセプタ100は、上面82及び下面84に結合されると共に、バッフル板64を基板ホルダ30に取付けるために(ボルトのような)締結具(図示せず)を受けるように構成される。締結レセプタ100は、第1の入口凹部102、第2の入口凹部103、及び出口貫通孔104を具備することができる。別の態様では、第2の入口凹部103は不要となる。例えば、バッフル板64内に形成される締結レセプタ100の数は、0乃至100の範囲とすることができる。締結レセプタ100の数は、望ましくは5乃至20の範囲であり、より望ましくは12である。
図6は、複数の締結レセプタ100の1つを含む、バッフル板64の内側半径方向縁部86の拡大断面図を示す。内側半径方向縁部86は、内側縁部面112及び複数の締結具係合面113を更に具備することができる。内側縁部面112は、バッフル板64の上面82及び下面84に結合されることができる。少なくとも1つの締結具係合面113は、バッフル板64の下面84に結合され且つこれと一致すると共に、バッフル板64を基板ホルダ30に係合させるように構成されることができる。更に、図6に示されるように、締結レセプタ100は、内側レセプタ面106を含むことができ、内側レセプタ面106は、第1の入口面107、第1のリップ面108、第2の入口面109、第2のリップ面110、及び出口面111を更に具備することができる。例えば、内側半径方向縁部86は、内側半径方向縁部86に近接する上面82及び下面84間の距離によって決定される最小の厚さを具備することができ、これは、1乃至50mmの寸法範囲を有する。この最小の厚さは、望ましくは1乃至10mmの寸法範囲にあり、より望ましくは2mm以上である。
図7は、バッフル板64の外側半径方向縁部88の拡大断面図を示す。外側半径方向縁部88は、外側縁部面114、第1の係合面116、及び第2の係合面118を更に具備することができる。外側縁部面114は、バッフル板64の上面82及び下面84に結合されることができる。第1の係合面116は、上面82に結合され且つこの部分と一致すると共に、プラズマ処理システム1と係合するように構成されることができる。第2の係合面118は、下面84に結合され且つこの部分と一致すると共に、プラズマ処理システム1と係合するように構成されることができる。例えば、第1及び第2の係合面は、バッフル板64を、堆積シールド14及びプラズマ処理チャンバ10の少なくとも1つに係合させるために使用することができる。更に、例えば、外側半径方向縁部88は、外側半径方向縁部88に近接する第1の係合面116及び第2の係合面118間の距離によって決定される厚さを具備することができ、これは、1乃至50mmの寸法範囲を有する。この厚さは、望ましくは1乃至10mmの寸法範囲にあり、より望ましくは7mm以上である。
更に、図2に示されるように、バッフル板64は、例えば、複数の装着貫通孔101を更に具備することができる。各装着貫通孔101は、上面82及び下面84に結合されると共に、バッフル板64をプラズマ処理チャンバ10及び上部電極22の堆積シールド26の少なくとも1つに取付けるために(ボルトのような)締結具(図示せず)を受けるように構成されることができる。例えば、バッフル板64内に形成される装着貫通孔101の数は、0乃至100の範囲とすることができる。装着貫通孔101の数は、望ましくは5乃至20の範囲であり、より望ましくは10以上である。
図2乃至図7を参照すると、バッフル板64は、バッフル板64の複数の露出面145上に形成された保護バリア150を更に具備する。本発明の1実施形態において、露出面145は、第1の係合面116を除くバッフル板64の上面82と、複数の締結具係合面113及び第2の係合面118を除くバッフル板64の下面84と、上面82及び下面84に隣接する内側通路面92とを含むことができる。更に、露出面145は、複数の締結レセプタ100の夫々の第1の入口面107と、複数の締結レセプタ100の夫々の第1のリップ面108とを含むことができる。代わりに、露出面は、バッフル板64上の全ての表面を含むことができる。
本発明の1実施形態において、保護バリア150は、Alのようなアルミニウム酸化物を含む化合物を具備することができる。本発明の別の実施形態において、保護バリア150は、AlとYとの混合物を具備することができる。本発明の別の実施形態において、保護バリア150は、III族元素(周期律表の第III族)及びランタノイド系元素の少なくとも一方を具備することができる。本発明の別の実施形態において、III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備することができる。本発明の別の実施形態において、ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備することができる。本発明の別の実施形態において、保護バリア150を形成する化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備することができる。
本発明の1実施形態において、バッフル板64上に形成される保護バリア150は、最小の厚さを有する熱スプレーコーティングを具備する。この最小の厚さは、複数の露出面145に亘って変化されることができる。換言すると、この特定の厚さは、露出面145の面内で変化されることができる。例えば、この最小の厚さは、露出面145の第1の部分上では一定であり、露出面145の第2の部分上では変化されるものであってもよい。例えば、厚さの変化は、湾曲面上、角部上、或いは穴内で発生する可能性がある。この最小の厚さは、0ミクロン乃至550ミクロンの範囲にある。この最小の厚さは、望ましくは50ミクロン乃至250ミクロンの範囲であり、より望ましくは150ミクロン乃至250ミクロンの範囲である。
図8は、本発明の1実施形態に従って、図1に記載のプラズマ処理システムのバッフル板64を作製する方法を示す。フロー図300は、ステップ310で開始し、このステップでバッフル板64を作製する(例えば、バッフル板は、図2乃至図7を参照にして説明したバッフル板の特性を有する)。バッフル板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。バッフル板は、例えば、アルミニウムから作製されることができる。
ステップ320で、バッフル板は、表面陽極酸化層を形成するために陽極酸化される。例えば、バッフル板をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備する。アルミニウムの部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ330で、表面陽極酸化層は、標準的な機械加工技術を使用して露出面145から除去される。この機械加工ステップ中または別の機械加工ステップ中、他の表面(例えば、上面の第1の係合面、下面の第2の係合面、及び下面の複数の締結具係合面)もまた機械加工されることができる(例えば、機械加工された表面で良好な機械的接触または電気的接触の少なくとも一方を提供する平坦またはむき出しの面を形成するため)。
ステップ340で、保護バリア150が、露出面145上に形成される。例えば、イットリアを具備する保護バリア150は、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図9は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムのバッフル板を作製する方法を示す。フロー図400は、ステップ410で開始し、このステップでバッフル板64を作製する(例えば、バッフル板は、図2乃至図7を参照にして説明したバッフル板の特性を有する)。バッフル板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。バッフル板は、例えば、アルミニウムから作製されることができる。
ステップ420で、露出面145が、そこに表面陽極酸化層が形成されることを防止するためにマスクされる。表面のマスキング及び脱マスキングは、表面コーティング及び表面陽極酸化の当業者によく知られている。このマスキングステップ中または別のマスキングステップ中、他の表面(例えば、上面の第1の係合面、下面の第2の係合面、及び下面の複数の締結具係合面)もまたマスクされることができる(例えば、機械加工された表面で良好な機械的接触または電気的接触の少なくとも一方を提供する平坦またはむき出しの面を維持するため)。
ステップ430で、バッフル板が陽極処理され、マスクされていない残りの表面に表面陽極酸化層が形成される。例えば、バッフル板をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備することができる。アルミニウム部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ440で、保護バリア150が露出面上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図10は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムのバッフル板を作製する方法を示す。フロー図500は、ステップ510で開始し、このステップでバッフル板64を作製する(例えば、バッフル板は、図2乃至図7を参照にして説明したバッフル板の特性を有する)。バッフル板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。バッフル板は、例えば、アルミニウムから作製されることができる。
ステップ520で、保護バリア150が、バッフル板64の露出面145上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図8乃至図10を参照して説明した露出面145上に保護バリア150を形成する処理は、機械加工及びマスキングの組み合わせを利用するように変更することができる。この変更例の処理において、少なくとも1つの露出面が、陽極酸化層が形成されることを防止するためにマスクされる一方、他の露出面が陽極酸化される。次に、マスクされない露出面は機械加工され、また、マスクされた露出面は脱マスクされる。次に、全ての露出面上に保護バリア150を形成することが可能となる。上述のように、露出面ではない他の表面もまた、この方法の間に機械加工することができる(例えば、陽極酸化層がある場合よりも良好な機械的または電気的接触を提供するため)。
本発明のある例示的な実施形態だけについて詳細に前述したが、当業者によれば、本発明の新規な教示及び利点から実質的に逸脱せずに、例示的な実施形態に対して多数の変更が可能であることが認識できるであろう。従って、このような変更の全ては、本発明の技術的範囲内に含まれることを意図している。
本発明の1実施形態に係る、バッフル板を具備するプラズマ処理システムの簡単化されたブロック図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の平面図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の断面図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板内に形成された通路を非主軸に沿って示す拡大断面図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の上面内に形成された通路の拡大図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板内に形成された通路を主軸に沿って示す拡大断面図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の下面内に形成された通路の拡大図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の内側半径方向縁部の拡大図。 本発明の1実施形態に係る、プラズマ処理システム用のバッフル板の外側半径方向縁部の拡大図。 本発明の1実施形態に従って、プラズマ処理システム用のバッフル板を作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用のバッフル板を作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用のバッフル板を作製する方法を示す図。

Claims (13)

  1. プラズマ処理システムのチャンバー内に設けられる改良されたバッフル板であって、
    上面、下面、前記上面及び前記下面に結合された内側半径方向縁部、前記上面及び前記下面に結合された外側半径方向縁部、前記上面及び前記下面に結合されると共にガスが流れることができるように構成された少なくとも1つの通路を具備するリングからなり、前記上面は、前記外側半径方向縁部に近接する第1の係合面を具備し、前記下面は、前記外側半径方向縁部に近接する第2の係合面と、前記内側半径方向縁部に近接する複数の締結具係合面とを具備し、前記少なくとも1つの通路の夫々は、内側通路面を具備し、
    前記リングは、前記第1の係合面と前記第2の係合面と前記締結具係合面において、前記チャンバー内の他の部材に接して保持され、また、
    前記リングは、前記バッフル板の前記上面及び前記下面に結合されると共に、前記バッフル板を前記プラズマ処理システムに結合するために、締結具を受けるように構成された、複数の締結レセプタ及び複数の装着貫通孔を更に具備し、
    前記複数の締結レセプタの少なくとも1つは、入口凹部、出口貫通孔、及び内側レセプタ面を具備し、
    前記複数の締結レセプタの少なくとも1つの前記内側レセプタ面は、第1の入口面、第1のリップ面、第2の入口面、第2のリップ面、及び出口面を具備し、
    前記第1の係合面を除く前記上面と、前記第2の係合面及び前記複数の締結具係合面を除く前記下面と、前記少なくとも1つの通路の夫々の前記内側通路面の表面には保護バリアを具備する改良されたバッフル板。
  2. 前記少なくとも1つの通路は、スロットを具備する請求項1に記載の改良されたバッフル板。
  3. 前記スロットは、入口面積及び出口面積を具備し、前記入口面積は前記出口面積よりも大きい請求項2に記載の改良されたバッフル板。
  4. 前記少なくとも1つの通路は、オリフィスを具備する請求項1に記載の改良されたバッフル板。
  5. 前記バッフル板の複数の露出面は、前記第1の入口面及び前記第1のリップ面を更に含む請求項に記載の改良されたバッフル板。
  6. 前記バッフル板は、金属を具備する請求項1に記載の改良されたバッフル板。
  7. 前記金属は、アルミニウムを具備する請求項に記載の改良されたバッフル板。
  8. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項1に記載の改良されたバッフル板。
  9. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項に記載の改良されたバッフル板。
  10. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項に記載の改良されたバッフル板。
  11. 前記化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項に記載の改良されたバッフル板。
  12. 前記保護バリアは、前記バッフル板の複数の露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項1に記載の改良されたバッフル板。
  13. 前記保護バリアは、前記バッフル板の複数の露出面の少なくとも1つの面内で変化する最小の厚さを具備する請求項1に記載の改良されたバッフル板。
JP2004539388A 2002-09-30 2003-09-29 プラズマ処理システムにおける改良されたバッフル板のための装置 Expired - Fee Related JP4627660B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,382 US6837966B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved baffle plate in a plasma processing system
PCT/IB2003/004943 WO2004030013A2 (en) 2002-09-30 2003-09-29 Baffle plate in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2006501647A JP2006501647A (ja) 2006-01-12
JP4627660B2 true JP4627660B2 (ja) 2011-02-09

Family

ID=32029497

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539388A Expired - Fee Related JP4627660B2 (ja) 2002-09-30 2003-09-29 プラズマ処理システムにおける改良されたバッフル板のための装置

Country Status (6)

Country Link
US (3) US6837966B2 (ja)
JP (1) JP4627660B2 (ja)
KR (1) KR100733167B1 (ja)
CN (1) CN100380564C (ja)
AU (1) AU2003274581A1 (ja)
WO (1) WO2004030013A2 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
TW200423195A (en) * 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP4409459B2 (ja) * 2005-02-17 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびその部品と部品の寿命検出方法
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7462845B2 (en) * 2005-12-09 2008-12-09 International Business Machines Corporation Removable liners for charged particle beam systems
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
CN101355009B (zh) * 2007-07-23 2011-11-02 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀装置
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
WO2009054696A1 (en) * 2007-10-26 2009-04-30 Sosul Co., Ltd. Baffle, substrate supporting apparatus and plasma processing apparatus and plasma processing method
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
KR20120065841A (ko) * 2010-12-13 2012-06-21 삼성전자주식회사 기판 지지 유닛과, 이를 이용한 박막 증착 장치
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10276340B1 (en) 2017-12-20 2019-04-30 Varian Semiconductor Equipment Associates, Inc. Low particle capacitively coupled components for workpiece processing
CN111383884B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 等离子体约束系统及方法
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
CN113745083B (zh) * 2020-05-28 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP2022107392A (ja) * 2021-01-08 2022-07-21 東京エレクトロン株式会社 排気リングアセンブリ及びプラズマ処理装置

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) * 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
US5725960A (en) * 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) * 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
DE69603627T2 (de) * 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) * 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) * 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) * 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6962649B2 (en) * 1998-07-10 2005-11-08 Semitool, Inc. Contact assemblies, methods for making contact assemblies, and machines with contact assemblies for electrochemical processing of microelectronic workpieces
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) * 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6618478B1 (en) * 1999-09-27 2003-09-09 Ameritech Corporation Telephone set having a help key and methods and systems for use therewith
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE20104654U1 (de) 2000-03-15 2001-09-13 Preising Paul Eric Reinigungsvorrichtung für hochspannungsführende Anlagenteile
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1358660B1 (en) * 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2004534743A (ja) * 2001-04-09 2004-11-18 ロランティス リミテッド ヘッジホッグ
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6514911B1 (en) * 2002-01-16 2003-02-04 Uniroyal Chemical Company, Inc. Substituted oxadiazines useful as pesticides
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Also Published As

Publication number Publication date
WO2004030013A2 (en) 2004-04-08
KR20050067405A (ko) 2005-07-01
CN1682341A (zh) 2005-10-12
WO2004030013A8 (en) 2004-10-07
AU2003274581A8 (en) 2004-04-19
AU2003274581A1 (en) 2004-04-19
US20070204794A1 (en) 2007-09-06
KR100733167B1 (ko) 2007-06-27
US6837966B2 (en) 2005-01-04
CN100380564C (zh) 2008-04-09
WO2004030013A3 (en) 2004-09-16
US8057600B2 (en) 2011-11-15
US20040060658A1 (en) 2004-04-01
US7282112B2 (en) 2007-10-16
US20050103268A1 (en) 2005-05-19
JP2006501647A (ja) 2006-01-12

Similar Documents

Publication Publication Date Title
JP4627660B2 (ja) プラズマ処理システムにおける改良されたバッフル板のための装置
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
JP4585316B2 (ja) プラズマ処理システムにおける改良された光学窓堆積シールドのための装置
JP4627659B2 (ja) プラズマ処理システムにおける改良されたベローズシールドのための装置
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
JP2006501610A (ja) プラズマ処理システムにおける改良されたバッフル板のための方法及び装置
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091102

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100616

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101001

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101102

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101108

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4627660

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees