CN100380564C - 用于等离子体处理系统中的改进的折流板的方法和设备 - Google Patents

用于等离子体处理系统中的改进的折流板的方法和设备 Download PDF

Info

Publication number
CN100380564C
CN100380564C CNB03822206XA CN03822206A CN100380564C CN 100380564 C CN100380564 C CN 100380564C CN B03822206X A CNB03822206X A CN B03822206XA CN 03822206 A CN03822206 A CN 03822206A CN 100380564 C CN100380564 C CN 100380564C
Authority
CN
China
Prior art keywords
deflection plate
barrier layer
protection barrier
passage
crowning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB03822206XA
Other languages
English (en)
Other versions
CN1682341A (zh
Inventor
西本伸也
三桥康至
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1682341A publication Critical patent/CN1682341A/zh
Application granted granted Critical
Publication of CN100380564C publication Critical patent/CN100380564C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Abstract

本申请涉及用于等离子体处理系统中的改进的折流板的方法和设备。本发明提出了一种用于等离子体处理器系统的改进的折流板,其中,折流板的设计和制造能够有利地在处理空间中提供均匀的处理等离子体,并且对折流板的腐蚀程度最小。

Description

用于等离子体处理系统中的改进的折流板的方法和设备
相关申请
本申请与下列同时待审的美国专利申请相关:序列号10/XXX,XXX,″Method and apparatus for an improved upperelectrode plate with deposition shield in a plasma processing system″,代理人案卷号226272US6YA,与本申请同日递交;10/XXX,XXX,″Method and apparatus for an improved upper electrode plate in aplasma processing system″,代理人案卷号225277US6YA,与本申请同日递交;10/XXX,XXX,″Method and apparatus for an improvedbaffle plate in a plasma processing system″,代理人案卷号228411US6YA,与本申请同日递交;10/XXX,XXX,″Method andapparatus for an improved deposition shield in a plasma processingsystem″,代理人案卷号226275US6YA,与本申请同日递交;10/XXX,XXX,″Method and apparatus for an improved opticalwindow deposition shield in a plasma processing system″,代理人案卷号226276US6YA,与本申请同日递交;以及10/XXX,XXX,″Method and apparatus for an improved bellows shield in a plasmaprocessing system″,代理人案卷号226277US6YA,与本申请同日递交。这些申请的全部内容在此引为参考。
技术领域
本发明涉及用于等离子体处理器系统的一种改进的部件,尤其涉及用在等离子体处理器系统中的环绕基片座的折流板(baffle plate)。
背景技术
半导体工业中集成电路(IC)的制造一般使用等离子体来在从基片上去除材料或者在基片上淀积材料所必须的等离子体反应器内产生和辅助表面化学。一般,等离子体是使用所供应的加工气体在等离子体反应器中在真空条件下这样形成的:将电子加热到足以维持离子化碰撞的能量。另外,被加热的电子可以具有足以维持离解碰撞(dissociative collision)的能量,因此,选择处于预定条件(例如室压、气流速率等)下的特定气体组合,来产生带电荷核素和适合于在室内执行的特定加工的化学活性核素的群。(所述特定加工例如是从基片去除材料的蚀刻处理,或者在基片上添附材料的淀积工艺)。
尽管对于在基片表面执行等离子体处理系统的功能(例如材料蚀刻、材料淀积等)来说,形成带电荷核素(离子等)和化学活性核素的群是必须的,但是,在处理室的内部的其它部件表面会暴露于具有物理和化学活性的等离子体,因而迟早会受腐蚀。等离子体处理器系统中的暴露部件的腐蚀会导致等离子体处理性能的逐渐退化,最终导致系统完全失效。
为了将由于持续暴露于处理等离子体而产生的损害最小化,使用保护阻挡层涂覆已知持续暴露于处理等离子体的等离子体处理器系统部件。例如,可以对用铝制造的部件进行阳极氧化处理,以产生一个氧化铝的表面层,这样就对等离子体更有抵抗力。在另一个例子中,可以在处理室内插入消耗性或者可更换的部件,比如用硅、石英、氧化铝、碳或者碳化硅制成的部件,以保护如果频繁更换会产生更大的成本的更有价值的部件的表面。另外,还希望选择表面材料,使得向处理等离子体引入的,从而可能向在基片上形成的器件引入的不希望有的污染物、杂质等最少。
在两种情况下,或者由于保护阻挡层的完整性,或者由于保护阻挡层的制造的完整性,保护性涂覆层都不可避免地会失效,加上可更换部件的消耗性的性质,要求对等离子体处理器系统进行频繁的维护。这种频繁的维护会产生与等离子体处理停工和新的等离子体处理室部件相关的成本,这种成本可能会过高。
发明内容
本发明提供了用于等离子体处理器系统的一种改进的折流板,其中,折流板的设计和制造有利地解决了上述缺点。
本发明的一个目的是提供一种折流板,其包括具有上表面、下表面、内径向边缘和外径向边缘的环。该上表面可以还包括接近所述外径向边缘的第一配合面。所述下表面可以还包括接近所述外径向边缘的第二配合面,以及多个接近所述内径向边缘的紧固件配合面。该折流板还可以包括至少一个连接到所述上表面和所述下表面的通道,并被配置位于允许气体从中流过,其中,所述至少一个通道可以包括一个内通道表面。
本发明的一个目的是还提供一种折流板,其包括:多个紧固接受体,每一个紧固接受体连接到所述上表面和下表面,被配置为接纳紧固装置,以将折流板连接到等离子体处理器系统上。每一个紧固接受体可以包括一个入口腔、一个出口通孔和一个内接纳面。
本发明的一个目的是还提供一种折流板,其包括:多个安装通孔,每一个安装通孔连接到所述上表面和下表面,被配置为接纳紧固装置以将折流板连接到等离子体处理器系统。
本发明的一个目的是,折流板还包括一个在折流板的对着处理等离子体的暴露面上形成的保护阻挡层。
本发明还有一个目的是,折流板的暴露表面包括折流板的除了第一配合面之外的上表面,折流板的除了所述多个紧固件配合面和第二配合面之外的下表面,以及与所述上表面和下表面邻接的内通道面。本发明可以可选地包括将所述多个紧固接受体的每一个的第一进入表面和所述多个紧固接受体的每一个的第一凸起面标识为暴露表面。
本发明还提供了一种制造等离子体处理器系统中的折流板的方法,包括下述步骤:制造所述折流板;将该折流板阳极氧化,在该折流板上形成一个表面阳极氧化层;加工折流板上的暴露表面,以除去表面阳极氧化层;在暴露表面上形成保护阻挡层。
本发明提供了另一种制造等离子体处理器系统中的折流板的方法,包括下述步骤:制造所述折流板;遮蔽该折流板上的暴露表面,以防止形成表面阳极氧化层;使所述折流板阳极氧化以在该折流板上形成表面阳极氧化层;去除对所述暴露表面的遮蔽;在暴露表面上形成保护阻挡层。
本发明提供了另一种制造等离子体处理器系统中的折流板的方法,包括下述步骤:制造所述折流板;在多个暴露表面上形成保护阻挡层。
本发明还可以包括结合加工和遮蔽以准备暴露表面接纳保护阻挡层的处理,然后在暴露表面上形成保护阻挡层。例如,可以在阳极氧化之前遮蔽两个暴露表面,在阳极氧化之后对所述两个表面进行加工,产生可以在上面形成保护阻挡层的四个暴露表面。
上述任何方法还可以选择性地包括加工不是暴露表面的阳极氧化(或者是被镀覆的)表面(例如获得被加工的表面与另一部件配合处的裸金属连接)。
附图说明
结合附图阅读下面对本发明的实施例的详细说明,本发明的上述以及其它优点会变得更加清楚、更易理解。附图中:
图1图示了根据本发明的一个实施例,包括折流板的等离子体处理器系统的简化方框图;
图2图示了根据本发明的一个实施例的等离子体处理器系统的折流板的俯视图;
图3图示了根据本发明的一个实施例的等离子体处理器系统的折流板的剖视图;
图4图示了沿着根据本发明的一个实施例的等离子体处理器系统的折流板内形成的通道的短轴的放大剖视图;
图5A图示了根据本发明的一个实施例的等离子体处理器系统的折流板上表面内形成的通道的放大图;
图5B图示了沿着根据本发明的一个实施例的等离子体处理器系统的折流板内形成的通道的长轴的放大剖视图;
图5C图示了根据本发明的一个实施例的等离子体处理器系统的折流板下表面内形成的通道的放大图;
图6图示了根据本发明的一个实施例的等离子体处理器系统的折流板的内径向边缘的放大图;
图7图示了根据本发明的一个实施例的等离子体处理器系统的折流板的外径向边缘的放大图;
图8图示了根据本发明的一个实施例制造等离子体处理器系统的折流板的方法;
图9图示了根据本发明的另一个实施例制造等离子体处理器系统的折流板的方法;
图10图示了根据本发明的另一个实施例制造等离子体处理器系统的折流板的方法;
具体实施方式
根据本发明的一个实施例,在图1中图示了等离子体处理器系统1,其包括等离子体处理室10、上组件20、上电极22、用于支承基片35的基片座30,以及连接到真空泵(未图示)用以在等离子体处理室10中提供减压气氛11的泵吸管40。等离子体处理室10能够有助于在邻接基片35的处理空间12中形成处理等离子体。等离子体处理器系统1能够被配置为处理200mm基片、300mm基片或者更大的基片。
在图示的实施例中,上电极22包括具有淀积屏蔽26(图1)的电极板24(图1)。在备选实施例中,上组件20可以包括盖、注气组件和上电极阻抗匹配网络中的至少一个。例如,上电极22可以连接到RF源。在另一个备选实施例中,上组件20包括连接到上电极22的盖,其中,上电极22被保持在等于等离子体处理室10的电势的电势。例如,等离子体处理室10、上组件20和上电极22可以电连接到地电势。
等离子体处理室10例如可以进一步包括连接到上电极22的淀积屏蔽26的光学观察口16。光学观察口16可以包括连接到光学窗口淀积屏蔽18的背面的光学窗口17,光学窗口凸缘19可以被配置为将光学窗口17连接到光学窗口淀积屏蔽18。密封件比如O形密封圈可以设置在光学窗口凸缘19和光学窗口17之间,光学窗口17和光学窗口淀积屏蔽18之间,以及光学窗口淀积屏蔽18和等离子体处理室10之间。光学观察口16例如可以允许监视处理空间12中的处理等离子体的光发射。
基片座30例如能够进一步包括由连接到基片座30和等离子体处理室10的波纹管52围住的垂直平移装置50,该波纹管被配置为将垂直平移装置50与等离子体处理室10中的减压气氛11密封隔离开。另外,波纹管屏蔽54例如能够连接到基片座30,被配置为保护波纹管52不受处理等离子体影响。基片座10例如可以进一步连接到聚焦环60和屏蔽环62中的至少一个。另外,折流板64可以关于基片座30的周围延伸。
基片35例如能够通过借助于机器人基片传输系统,通过槽阀(slot valve,未图示)和处理室通孔(chamber feedthrough,未图示)被传输,进出等离子体处理室10,在所述机器人基片传输系统中,基片被装在基片座30中的基片举升顶杆(lift pin,未图示)接纳,并被容纳在其中的装置机械平移。一旦从基片传输系统接收到基片35,它就被下降到基片座30的上表面上。
基片35例如可以通过静电吸附系统被固定到基片座30上。另外,基片座30例如可以进一步包括冷却系统,冷却系统包括从基片座30接收热量,并将热量传递到热交换系统(未图示)(或者,在加热时,从热交换系统传递热量)的再循环冷却剂流。另外,可以通过背面气体系统向基片35的背面送气,以改善基片35和基片座30之间的气隙导热性。这样的系统可以在要求在较高或者较低温度对基片进行温度控制时使用。在其它实施例中,可以包括加热元件,比如电阻加热元件或者热电加热器/冷却器。
在图1所示的实施例中,基片座30可以包括一个电极,RF功率通过该电极耦合到处理空间12中的处理等离子体。例如,可以通过从RF发生器(未图示)通过阻抗匹配网络(未图示)向基片座30传输RF功率,将基片座30电偏置到RF电压。该RF偏压能够用来对电子加热,以形成和维持等离子体。在此配置中,系统可以作为反应离子蚀刻(RIE)反应器工作,其中,处理室和上注气电极用作地表面。用于RF偏压的典型频率可以是从1MHz到100MHz,最好是13.56MHz。用于等离子体处理的RF系统对于本领域普通技术人员来说是公知的。
或者,在处理空间12中形成的处理等离子体的形成可以使用平行板、电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源以及它们的任意组合,使用或者不使用磁体系统。或者,在处理空间12中形成的处理等离子体的形成可以使用电子回旋共振(electroncyclotron resonance(ECR))。在另一个实施例中,在处理空间12中形成的处理等离子体可以从激励螺旋波形成。在另一个实施例中,在处理空间12中形成的处理等离子体可以从传播表面波形成。
现在看图示于图2(俯视图)和图3(剖视图)的本发明的实施例,其中,折流板64可以形成一个环,该环包括上表面82、下表面84、内径向边缘86以及外径向边缘88。折流板64还可以包括至少一个连接到上表面82和下表面84的通道90,其被配置为允许气体从中流过。
图4提供了一个通道90的放大图,其中,该放大图提供了沿着通道90的短轴的横剖面图。每一个通道90包括一个与折流板64的上表面82和下表面84邻接的内通道表面92。例如,至少一个通道90可以具有一个长度,该长度由邻近每一个通道90的上表面82和下表面84之间的距离规定,尺度范围为1到50mm。理想的是该长度的尺度范围为1到10mm,最好是至少5mm。
图5A、5B和5C分别提供了在折流板64的上表面82处通道90的举例的剖视图,另一个沿着通道90的长轴的放大剖视图,以及在折流板64的下表面84处的通道90的举例的剖视图。
在图1和图5B所示的实施例中,所述至少一个通道90可以包括沿着径向排列的槽。在本发明的备选实施例中,所述槽可以排列在方位角方向(平经度方向)。在本发明的一个备选实施例中,所述槽可以是倾斜的,因而排列得部分在径向、部分在方位角方向。在另一个备选实施例中,所述通道90可以包括上述排列方法的组合。或者,所属通道可以包括至少一个孔。
另外,看图5A和图5B,在上表面82处的通道90的剖面图包括一个横剖面进口区91a,该进口区91a大于在下表面84处的通道90的剖面图所示的相应的横剖面出口区91b。或者,横剖面面积例如可以沿着通道从上表面82到下表面84的长度是恒定的。或者,通道90的在下表面84处的横剖面出口区91b的横剖面积例如可以大于通道90的在上表面82处的相应横剖面入口区91a。
仍然看图5B,并看图2,折流板64例如可以进一步包括多个紧固接受体100。每一个紧固接受体可以连接到上表面82和下表面84,并被配置为接纳紧固装置(未图示,比如螺栓),以将折流板64连接到基片座30。紧固接受体100可以包括第一入口腔102、第二入口腔103以及出口通孔104。或者不需要第二入口区103。例如,在折流板64内形成的紧固接受体100的数量可以从0到100。紧固接受体100的数量最好是5到20。更好地,紧固接受体100的数量为12。
图6图示了包括所述多个紧固接受体100之一的折流板64的内径向边缘86的放大剖视图。内径向边缘86可以还包括一个内边缘表面112和多个紧固件配合面113。内边缘表面112可以连接到折流板64的上表面82和下表面84。至少一个紧固件配合面113可以连接到折流板64的下表面84并与之重合,并被配置为使折流板64与基片座30配合。另外,如图6所示,紧固接受体100可以包括内接受体表面106,内接受体表面还可以包括第一进入面107、第一凸起面(lipsurface)108、第二进入面109、第二凸起面110以及出口面111。例如,内径向边缘86可以具有由靠近内径向边缘86的下表面84和上表面82之间的距离规定的最小厚度,其尺度为1到50mm。理想的是该最小厚度的尺度范围为1到10mm,最好是至少2mm。
图7提供了折流板64的外径向边缘88的放大剖视图。外径向边缘88还可以包括外边缘面114、第一配合面116以及第二配合面118。外边缘面114可以连接到折流板64的上表面82和下表面84。第一配合面116可以连接到上表面82并与之重合,并被配置为与等离子体处理器系统1配合。第二配合面118可以连接到下表面84的一部分并与之重合,并被配置为与等离子体处理器系统1配合。例如,第一和第二配合面可以用来使折流板64与淀积屏蔽14和等离子体处理室10中的至少一个配合。另外,例如,外径向边缘88的厚度可以由靠近外径向边缘88的第二配合面118和第一配合面116之间的距离规定,其尺度范围为1到50mm。该厚度理想的尺度范围为1到10mm,最好是至少7mm。
另外,如图2所示,折流板64例如可以还包括多个安装通孔101。每一个安装通孔101可以连接到上表面82和下表面84,并被配置为接纳紧固装置(未图示)(比如螺栓)以将折流板64连接到等离子体处理室10和上电极22的淀积屏蔽26中的至少一个。例如,形成在折流板64内的安装通孔的数量可以从0到100。安装通孔101的理想数量是5到20,最好是至少10。
现在看图2到图7,折流板64还包括形成在折流板64的多个暴露表面145上的保护阻挡层150。在本发明的一个实施例中,暴露表面145可以包括折流板64的除开第一配合面116之外的上表面82、折流板64的除开所述多个紧固件配合面113和第二配合面118的下表面84,以及与所述上表面82和下表面84邻接的内通道表面92。另外,所述暴露表面145可以包括所述多个紧固接受体100中的每一个的第一进入面107,以及所素多个紧固接受体100的每一个的第一凸起面108。或者,所述暴露表面包括折流板64上的所有表面。
在本发明的一个实施例中,所述保护阻挡层150可以包括一种包括铝的氧化物比如Al2O3的化合物。在本发明的另一个实施例中,所述保护阻挡层150可以包括Al2O3和Y2O3的混合物。在本发明的另一个实施例中,所述保护阻挡层150可以包括III族元素(元素周期表的第3列)和镧系元素中的至少一种。在本发明的另一个实施例中,所述III族元素可以包括钇、钪和镧中的至少一种。在本发明的另一个实施例中,所述镧系元素可以包括铈、镝和铕中的至少一种。在本发明的另一个实施例中,所述化合物形成的保护阻挡层150可以包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3和DyO3中的至少一种。
在本发明的一个实施例中,形成在折流板64上的保护阻挡层150包括一个具有最小厚度的热喷涂镀层,其中,该最小厚度可以在所述多个暴露表面145之间变化。换句话说,规定的厚度可以在暴露表面145之间变化。例如,最小厚度可以在暴露表面145的第一部分上恒定,在暴露表面145上的第二部分上变化。例如,厚度的变化可以发生在曲面上、角落里或者孔洞中。所述最小厚度从0微米到550微米。该最小厚度的理想范围是50微米到250微米,最好是150微米到250微米。
图8图示了根据本发明的一个实施例,制造图1所示的等离子体处理器系统中的折流板64的一种方法。流程图300始于310,制造折流板64(例如具有参照图2-7所描述的板的特性)。制造折流板的步骤可以包括下述操作中的至少一种:机械加工(切削)、铸造、抛光、锻造以及磨削。例如,上面所描述的每一个部件可以根据在机械制图上给出的规范进行机械加工,可以使用传统的技术,包括磨机、车床等。使用例如磨机或者车床等进行部件的机械加工的技术对于机械加工领域的普通技术人员来说是公知的。所述折流板例如可以用铝制造。
在320,将折流板阳极氧化,以形成表面阳极氧化层。例如,当用铝制造折流板时,表面阳极氧化层包括氧化铝(Al2O3)。使铝部件阳极氧化的方法对于表面阳极氧化领域的普通技术人员来说是公知的。
在330,使用标准的机械加工技术从暴露表面145去除表面阳极氧化层。在同一机械加工步骤中,或者在一个单独的机械加工步骤中,可以加工其它表面(例如上表面的第一配合面、下表面的第二配合面以及下表面的所述多个紧固件配合面)(以便,例如,产生一个平坦面或者裸露面,在被机械加工的表面提供好的机械接触或者电学接触中的至少一种。)
在340,在暴露表面145上形成所述保护阻挡层150。保护阻挡层150例如包括氧化钇,可以使用陶瓷喷涂镀覆领域的普通技术人员公知的(热)喷涂镀覆技术形成。在一个备选实施例中,形成所述保护阻挡层的步骤还可以包括抛光所述热喷涂镀层。例如,抛光所述热喷涂镀层的步骤可以包括对喷涂表面用砂纸打磨。
图9图示了根据本发明的另一个实施例,制造如图1所描述的等离子体处理器系统的折流板的方法。流程图400始于410,制造折流板64(例如具有参照图2-7所描述的板的特性的折流板)。制造折流板的步骤可以包括下述操作中的至少一种:机械加工(切削)、铸造、抛光、锻造以及磨削。例如,上面所描述的每一个部件可以根据在机械制图上给出的规范进行机械加工,可以使用传统的技术,包括磨机、车床等。使用例如磨机或者车床等进行部件的机械加工的技术对于机械加工领域的普通技术人员来说是公知的。所述折流板例如可以用铝制造。
在420,遮蔽暴露表面145以防止在上面形成表面阳极氧化层。表面遮蔽和去除表面遮蔽的技术对于表面镀覆和表面阳极氧化领域的普通技术人员来说是公知的。在同一遮蔽步骤中,或者在单独的遮蔽步骤中,也可以遮蔽其它表面(例如上表面的第一配合面、下表面的第二配合面以及下表面的所述多个紧固件配合面)(以便,例如,保持一个平坦面或者裸露面,在被机械加工的表面提供好的机械接触或者电学接触中的至少一种。)
在430,将折流板阳极氧化,以在剩余的未遮蔽的表面上形成表面阳极氧化层。例如,当用铝制造折流板时,表面阳极氧化层可以包括氧化铝(Al2O3)。使铝部件阳极氧化的方法对于表面阳极氧化领域的普通技术人员来说是公知的。
在440,在暴露表面上形成所述保护阻挡层150。保护阻挡层例如包括氧化钇,可以使用陶瓷喷涂镀覆领域的普通技术人员公知的(热)喷涂镀覆技术形成。在一个备选实施例中,形成所述保护阻挡层的步骤还可以包括抛光所述热喷涂镀层。例如,抛光所述热喷涂镀层的步骤可以包括对喷涂表面用砂纸打磨。
图10图示了根据本发明的另一个实施例,制造如图1所描述的等离子体处理器系统的折流板的一种方法。流程图500始于510,制造折流板64(例如具有参照图2-7所描述的板的特性的折流板)。制造折流板的步骤可以包括下述操作中的至少一种:机械加工(切削)、铸造、抛光、锻造以及磨削。例如,上面所描述的每一个部件可以根据在机械制图上给出的规范进行机械加工,可以使用传统的技术,包括磨机、车床等。使用例如磨机或者车床等进行部件的机械加工的技术对于机械加工领域的普通技术人员来说是公知的。所述折流板例如可以用铝制造。
在520,在折流板64的暴露表面145上形成保护阻挡层150。保护阻挡层例如包括氧化钇,可以使用陶瓷喷涂镀覆领域的普通技术人员公知的(热)喷涂镀覆技术形成。在一个备选实施例中,形成所述保护阻挡层的步骤还可以包括抛光所述热喷涂镀层。例如,抛光所述热喷涂镀层的步骤可以包括对喷涂表面用砂纸打磨。
参照图8到图10描述的在暴露表面145上形成保护阻挡层150的处理可以被修改,以使用机械加工和遮蔽的组合。在这样的改进的处理中,至少一个暴露表面被遮蔽,以防止在其它暴露表面被阳极氧化时在该暴露表面上形成阳极氧化层。然后对未被遮蔽的暴露表面进行机械加工,然后对被遮蔽了的暴露表面去除遮蔽。然后可以在所有暴露表面上形成保护阻挡层150。如上所述,也可以在该方法中对不是暴露表的另外的表面进行机械加工(例如为了提供与表面上具有阳极氧化层时能够形成的接触更好的机械或者电学接触)。
尽管在上面只是详细描述了本发明的特定的举例的实施方式,但是本领域的普通技术人员知道,可以对所述举例的实施方式进行许多修改,而不会从实质上偏离本发明的新颖教导和优点。因此,所有这样的修改都应被包括在本发明的范围之内。

Claims (53)

1.等离子体处理器系统中的改进的折流板,包括:
一个环,该环包括上表面、下表面、连接到所述上表面和所述下表面的内径向边缘、连接到所述上表面和所述下表面的外径向边缘以及至少一个通道,该通道连接到所述上表面和所述下表面,并被配置为允许气体从中流过,其中,所述上表面包括接近所述外径向边缘的第一配合面,所述下表面包括接近所述外径向边缘的第二配合面,以及多个接近所述内径向边缘的紧固件配合面,所述至少一个通道中的每一个包括一个内通道表面;以及
连接到所述折流板的多个暴露表面的保护阻挡层,其中,所述暴露表面包括除开所述第一配合面的所述上表面、除开所述第二配合面和所述多个紧固件配合面的所述下表面,以及所述至少一个通道中的每一个的所述内部通道表面。
2.如权利要求1所述的改进的折流板,其中,至少一个通道包括槽。
3.如权利要求2所述的改进的折流板,其中,所述槽包括一个入口区和一个出口区,其中,所述入口区大于所述出口区。
4.如权利要求1所述的改进的折流板,其中,至少一个通道包括孔。
5.如权利要求1所述的改进的折流板,其中,所述环还包括连接到所述折流板的所述上表面和所述下表面、被配置为接纳紧固装置以将所述折流板连接到所述等离子体处理器系统的多个安装通孔和多个紧固接受体中的至少一个。
6.如权利要求5所述的改进的折流板,其中,所述多个紧固接受体中的至少一个包括一个入口腔、一个出口通孔和一个内接纳面。
7.如权利要求6所述的改进的折流板,其中,所述多个紧固接受体中的至少一个的所述内接纳面包括第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
8.如权利要求7所述的改进的折流板,其中,所述多个暴露表面还包括所述第一入口面和所述第一凸起面。
9.如权利要求1所述的改进的折流板,其中,所述折流板包括金属。
10.如权利要求9所述的改进的折流板,其中,所述金属包括铝。
11.如权利要求1所述的改进的折流板,其中,所述保护阻挡层为包含III族元素和镧系元素中的至少一种的化合物。
12.如权利要求11所述的改进的折流板,其中,所述III族元素包括钇、钪和镧中的至少一种。
13.如权利要求11所述的改进的折流板,其中,所述镧系元素包括铈、镝和铕中的至少一种。
14.如权利要求11所述的改进的折流板,其中,所述化合物包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3和Dy2O3中的至少一种。
15.如权利要求1所述的改进的折流板,其中,所述保护阻挡层具有一个最小厚度,该最小厚度在所述多个暴露表面的至少一个上是恒定的。
16.如权利要求1所述的改进的折流板,其中,所述保护阻挡层具有一个最小厚度,该最小厚度在所述多个暴露表面的至少一个上是变化的。
17.一种制造等离子体处理器系统的改进的折流板的方法,包括下述步骤:
制造所述折流板,该折流板包括上表面、下表面、内径向边缘、外径向边缘以及至少一个通道,该通道连接到所述上表面和所述下表面,并被配置为允许气体从中流过,其中,所述上表面的一部分包括接近所述外径向边缘的第一配合面,所述下表面的一部分包括接近所述外径向边缘的第二配合面,以及多个接近所述内径向边缘的紧固件配合面,所述至少一个通道中的每一个包括一个内通道表面;以及
在多个暴露表面上形成保护阻挡层,所述暴露表面包括所述折流板的除开所述第一配合面的所述上表面、所述折流板的除开所述第二配合面和所述多个紧固件配合面的所述下表面,以及连接到所述上表面和所述下表面的所述内部通道表面。
18.如权利要求17所述的方法,其中,所述制造步骤包括下述操作中的至少一种:切削、铸造、抛光、锻造和磨削。
19.如权利要求17所述的方法,其中,形成所述保护阻挡层的步骤还包括抛光至少一个所述暴露表面上的所述保护阻挡层。
20.如权利要求17所述的方法,其中,所述折流板还包括连接到所述上表面和所述下表面、被配置为接纳紧固装置以将所述折流板连接到所述等离子体处理器系统的多个安装通孔和多个紧固接受体中的至少一个。
21.如权利要求20所述的方法,其中,所述多个紧固接受体中的每一个包括一个入口腔、一个出口通孔和一个内接纳面。
22.如权利要求21所述的方法,其中,所述多个紧固接受体中的每一个的所述内接纳面包括第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
23.如权利要求22所述的方法,还包括机械加工所述第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
24.如权利要求22所述的方法,还包括在所述第一入口面和所述第一凸起面上形成所述保护阻挡层。
25.如权利要求17所述的方法,其中,所述折流板包括金属。
26.如权利要求25所述的方法,其中,所述金属包括铝。
27.如权利要求17所述的方法,其中,所述保护阻挡层为包含III族元素和镧系元素中的至少一种的化合物。
28.如权利要求27所述的方法,其中,所述III族元素包括钇、钪和镧中的至少一种。
29.如权利要求27所述的方法,其中,所述镧系元素包括铈、镝和铕中的至少一种。
30.如权利要求17所述的方法,其中,所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3和Dy2O3中的至少一种。
31.如权利要求17所述的方法,其中,所述保护阻挡层具有一个最小厚度,该最小厚度在所述多个暴露表面的至少一个上是恒定的。
32.如权利要求17所述的方法,其中,所述保护阻挡层具有一个最小厚度,该最小厚度在所述多个暴露表面的至少一个上是变化的。
33.如权利要求17所述的方法,其中,所述暴露表面还包括所述折流板上剩余的所有表面。
34.一种制造等离子体处理器系统的改进的折流板的方法,包括下述步骤:
制造所述折流板,该折流板包括上表面、下表面、内径向边缘、外径向边缘以及至少一个通道,该通道连接到所述上表面和所述下表面,并被配置为允许气体从中流过,其中,所述上表面的一部分包括接近所述外径向边缘的第一配合面,所述下表面的一部分包括接近所述外径向边缘的第二配合面,以及多个接近所述内径向边缘的紧固件配合面,所述至少一个通道中的每一个包括一个内通道表面;
将所述折流板阳极氧化,以在该折流板上形成表面阳极氧化层;
对所述折流板上的暴露表面进行机械加工,以除去所述表面阳极氧化层,所述暴露表面包括所述折流板的除开所述第一配合面的所述上表面、所述折流板的除开所述第二配合面和所述多个紧固件配合面的所述下表面,以及连接到所述上表面和所述下表面的所述内部通道表面;以及
在所述暴露表面上形成保护阻挡层。
35.如权利要求34所述的方法,其中,所述折流板还包括连接到所述上表面和所述下表面、被配置为接纳紧固装置以将所述折流板连接到所述等离子体处理器系统的多个安装通孔和多个紧固接受体中的至少一个。
36.如权利要求35所述的方法,其中,所述多个紧固接受体中的每一个包括一个入口腔、一个出口通孔和一个内接纳面。
37.如权利要求36所述的方法,其中,所述多个紧固接受体中的每一个的所述内接纳面包括第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
38.如权利要求37所述的方法,还包括机械加工所述第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
39.如权利要求37所述的方法,还包括在所述第一入口面和所述第一凸起面上形成所述保护阻挡层。
40.如权利要求34所述的方法,其中,所述保护阻挡层为包含III族元素和镧系元素中的至少一种的化合物。
41.如权利要求40所述的方法,其中,所述III族元素包括钇、钪和镧中的至少一种。
42.如权利要求40所述的方法,其中,所述镧系元素包括铈、镝和铕中的至少一种。
43.如权利要求34所述的方法,其中,所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3和Dy2O3中的至少一种。
44.一种制造等离子体处理器系统的改进的折流板的方法,包括下述步骤:
制造所述折流板,该折流板包括上表面、下表面、内径向边缘、外径向边缘以及至少一个通道,该通道连接到所述上表面和所述下表面,并被配置为允许气体从中流过,其中,所述上表面的一部分包括接近所述外径向边缘的第一配合面,所述下表面的一部分包括接近所述外径向边缘的第二配合面,以及接近所述内径向边缘的紧固件配合面,所述至少一个通道中的每一个包括一个内通道表面;
遮蔽所述折流板上的暴露表面以防止形成表面阳极氧化层,所述暴露表面包括所述折流板的除开所述第一配合面的所述上表面、所述折流板的除开所述第二配合面和所述多个紧固件配合面的所述下表面,以及连接到所述上表面和所述下表面的所述内部通道表面;
将所述折流板阳极氧化,以在该折流板上形成所述表面阳极氧化层;
去除所述暴露表面的遮蔽;以及
在所述暴露表面上形成保护阻挡层。
45.如权利要求44所述的方法,其中,所述折流板还包括连接到所述上表面和所述下表面、被配置为接纳紧固装置以将所述折流板连接到所述等离子体处理器系统的多个安装通孔和多个紧固接受体中的至少一个。
46.如权利要求45所述的方法,其中,所述多个紧固接受体中的每一个包括一个入口腔、一个出口通孔和一个内接纳面。
47.如权利要求46所述的方法,其中,所述多个紧固接受体中的每一个的所述内接纳面包括第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
48.如权利要求47所述的方法,还包括机械加工所述第一入口面、第一凸起面、第二入口面、第二凸起面以及出口面。
49.如权利要求47所述的方法,还包括在所述第一入口面和所述第一凸起面上形成所述保护阻挡层。
50.如权利要求44所述的方法,其中,所述保护阻挡层为包含III族元素和镧系元素中的至少一种的化合物。
51.如权利要求50所述的方法,其中,所述III族元素包括钇、钪和镧中的至少一种。
52.如权利要求50所述的方法,其中,所述镧系元素包括铈、镝和铕中的至少一种。
53.如权利要求44所述的方法,其中,所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3和Dy2O3中的至少一种。
CNB03822206XA 2002-09-30 2003-09-29 用于等离子体处理系统中的改进的折流板的方法和设备 Expired - Lifetime CN100380564C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,382 2002-09-30
US10/259,382 US6837966B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved baffle plate in a plasma processing system

Publications (2)

Publication Number Publication Date
CN1682341A CN1682341A (zh) 2005-10-12
CN100380564C true CN100380564C (zh) 2008-04-09

Family

ID=32029497

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03822206XA Expired - Lifetime CN100380564C (zh) 2002-09-30 2003-09-29 用于等离子体处理系统中的改进的折流板的方法和设备

Country Status (6)

Country Link
US (3) US6837966B2 (zh)
JP (1) JP4627660B2 (zh)
KR (1) KR100733167B1 (zh)
CN (1) CN100380564C (zh)
AU (1) AU2003274581A1 (zh)
WO (1) WO2004030013A2 (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
TW200423195A (en) * 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP4409459B2 (ja) * 2005-02-17 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびその部品と部品の寿命検出方法
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7462845B2 (en) * 2005-12-09 2008-12-09 International Business Machines Corporation Removable liners for charged particle beam systems
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
CN101355009B (zh) * 2007-07-23 2011-11-02 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀装置
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
WO2009054696A1 (en) * 2007-10-26 2009-04-30 Sosul Co., Ltd. Baffle, substrate supporting apparatus and plasma processing apparatus and plasma processing method
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
KR20120065841A (ko) * 2010-12-13 2012-06-21 삼성전자주식회사 기판 지지 유닛과, 이를 이용한 박막 증착 장치
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US10777387B2 (en) * 2012-09-28 2020-09-15 Semes Co., Ltd. Apparatus for treating substrate
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10276340B1 (en) 2017-12-20 2019-04-30 Varian Semiconductor Equipment Associates, Inc. Low particle capacitively coupled components for workpiece processing
CN111383884B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 等离子体约束系统及方法
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
CN113745083B (zh) * 2020-05-28 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP2022107392A (ja) * 2021-01-08 2022-07-21 東京エレクトロン株式会社 排気リングアセンブリ及びプラズマ処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6176969B1 (en) * 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
CN1327612A (zh) * 1999-09-23 2001-12-19 兰姆研究公司 具有平铺式瓷衬的半导体加工设备
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) * 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
US5725960A (en) * 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) * 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
DE69603627T2 (de) * 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) * 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) * 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) * 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6962649B2 (en) * 1998-07-10 2005-11-08 Semitool, Inc. Contact assemblies, methods for making contact assemblies, and machines with contact assemblies for electrochemical processing of microelectronic workpieces
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) * 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6618478B1 (en) * 1999-09-27 2003-09-09 Ameritech Corporation Telephone set having a help key and methods and systems for use therewith
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE20104654U1 (de) 2000-03-15 2001-09-13 Preising Paul Eric Reinigungsvorrichtung für hochspannungsführende Anlagenteile
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1358660B1 (en) * 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2004534743A (ja) * 2001-04-09 2004-11-18 ロランティス リミテッド ヘッジホッグ
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6514911B1 (en) * 2002-01-16 2003-02-04 Uniroyal Chemical Company, Inc. Substituted oxadiazines useful as pesticides
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6176969B1 (en) * 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
CN1327612A (zh) * 1999-09-23 2001-12-19 兰姆研究公司 具有平铺式瓷衬的半导体加工设备
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法

Also Published As

Publication number Publication date
WO2004030013A2 (en) 2004-04-08
KR20050067405A (ko) 2005-07-01
CN1682341A (zh) 2005-10-12
WO2004030013A8 (en) 2004-10-07
AU2003274581A8 (en) 2004-04-19
AU2003274581A1 (en) 2004-04-19
US20070204794A1 (en) 2007-09-06
KR100733167B1 (ko) 2007-06-27
US6837966B2 (en) 2005-01-04
WO2004030013A3 (en) 2004-09-16
US8057600B2 (en) 2011-11-15
US20040060658A1 (en) 2004-04-01
US7282112B2 (en) 2007-10-16
JP4627660B2 (ja) 2011-02-09
US20050103268A1 (en) 2005-05-19
JP2006501647A (ja) 2006-01-12

Similar Documents

Publication Publication Date Title
CN100380564C (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
CN100466153C (zh) 等离子加工系统中用于改进的沉积罩的方法和设备
CN100555550C (zh) 等离子加工系统中的改进的上电极板的方法和装置
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
CN100424811C (zh) 等离子加工系统中带有沉积罩的上电极板
US7552521B2 (en) Method and apparatus for improved baffle plate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080409

CX01 Expiry of patent term