CN100466153C - 等离子加工系统中用于改进的沉积罩的方法和设备 - Google Patents

等离子加工系统中用于改进的沉积罩的方法和设备 Download PDF

Info

Publication number
CN100466153C
CN100466153C CNB038232448A CN03823244A CN100466153C CN 100466153 C CN100466153 C CN 100466153C CN B038232448 A CNB038232448 A CN B038232448A CN 03823244 A CN03823244 A CN 03823244A CN 100466153 C CN100466153 C CN 100466153C
Authority
CN
China
Prior art keywords
deposition shield
barrier layer
protective barrier
shield
improved
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038232448A
Other languages
English (en)
Other versions
CN1685465A (zh
Inventor
三枝秀仁
高濑均
三桥康至
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1685465A publication Critical patent/CN1685465A/zh
Application granted granted Critical
Publication of CN100466153C publication Critical patent/CN100466153C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

本发明提供用于包围等离子加工系统中加工空间的改进的沉积罩,其中沉积罩的设计和制造有利地在等离子加工空间中提供纯净的加工等离子,其对沉积罩具有基本最小的腐蚀。

Description

等离子加工系统中用于改进的沉积罩的方法和设备
相关申请的交叉参考
本申请涉及共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于具有沉积罩的上电极板的方法和设备”,律师事务所编号为226272US6YA,与本申请同一天申请;也涉及共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于改进的挡板的方法和设备”,律师事务所编号为226274US6YA,与本申请同一天申请;也涉及共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于改进的上电极板的方法和设备”,律师事务所编号为225277US6YA,与本申请同一天申请;也涉及共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于改进的光学窗口沉积罩的方法和设备”,律师事务所编号为226276US6YA,与本申请同一天申请;还涉及共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于改进的波纹管罩的方法和设备”,律师事务所编号为226277US6YA,与本申请同一天申请。这些申请的整个内容包含到本申请中作为参考。
技术领域
本发明涉及改进的用于等离子加工系统的元件,更具体地,涉及等离子加工系统中用来保护加工室壁的沉积罩。
背景技术
半导体产业中集成电路(IC)的制造通常采用等离子来产生和辅助等离子体反应器内的表面化学过程,该表面化学过程是从衬底上去除材料和向其上沉积测量所必须的。一般地,等离子体是在真空条件下通过加热电子至足够维持和供应的处理气体电离碰撞的能量在等离子体反应器内形成的。而且,加热的电子可具有足够维持分解(dissociative)碰撞的能量,因此,选择预定条件(如,加工室压力,气流速率,等)下的规定组的气体以产生大量带电物质和化学反应物质,它们适合于加工室内执行的特定工艺(如,蚀刻工艺,其中材料从衬底上去除,或沉积工艺,其中材料被加到衬底上)。
虽然大量带电物质(离子,等)和化学反应物质的形成是在衬端面执行等离子加工系统的功能(即,材料蚀刻,材料沉积,等)必须的,加工室内部其它元件表面被暴露于物理和化学活性的等离子,且能被及时腐蚀。等离子加工系统中暴露的元件的腐蚀可导致等离子加工性能的逐步恶化并最终导致系统的完全失效。
为了最小化由于暴露于加工等离子而导致的损坏,已知的持续暴露于加工等离子的等离子加工系统的元件被覆盖有保护性阻挡层。例如,由铝制成的元件可被阳极化以产生氧化铝表面层,其更耐等离子。在另一个例子中,可消耗或可更换的元件,如由硅,石英,氧化铝,碳,或碳化硅制造的元件可插入到加工室中以保护更昂贵元件的表面,更昂贵元件会在频繁更换过程中施加更大的成本。而且,希望选择表面材料,使不期望的污染物、杂质等引入至加工等离子和可能引入到形成于衬底上的器件中最小。
在这两种情形中,要么由于保护性阻挡层的整体性要么由于保护性阻挡层制造的整体性,保护性涂覆层不可避免的失效,和可更换元件的消耗性本质要求等离子加工系统频繁的维护。频繁的维护可产生与等离子加工系统停机及新等离子加工室元件关联的成本,这种成本可能会过高。
发明内容
本发明提供包围等离子加工系统中的加工空间改进的沉积罩,其中沉积罩的设计和制造有利地解决了上述缺点。
本发明的一个目的是提供一种沉积罩,其能够耦合到等离子加工系统,该等离子加工系统包括具有内表面,外表面,上端面和下端面的圆柱体。下端面可进一步包括底唇缘表面。
本发明的另一个目的是进一步提供一种沉积罩,其包括多个固定接收件,每个都耦合到沉积罩的上端面和下端面,且被配置以固定沉积罩至等离子加工系统。
本发明的另一个目的是进一步提供一种沉积罩,其包括用于通过沉积罩进入加工空间的开口。该开口包括第一开口表面,第二开口表面,和配合表面(mating surface),其中配合表面可包括一个或多个内螺纹(tapped)孔,该内螺纹孔包括固定表面。
本发明的另一个目的是沉积罩进一步包括保护性阻挡层,该保护性阻挡层形成在面对加工等离子的沉积罩的多个暴露表面上。
本发明的再一目的是沉积罩的暴露的表面包括沉积罩的内表面,沉积罩的上端面,和沉积罩的下端面的底唇缘表面。
本发明的再一目的是沉积罩可包括额外的暴露表面,如沉积罩中开口的第一开口表面和不包括固定表面的开口的配合表面。
本发明进一步提供一种在等离子加工系统中制造沉积罩的方法,其包括以下步骤:制造沉积罩;阳极化沉积罩以形成表面阳极化层于沉积罩上;机械加工沉积罩上的暴露表面以去除表面阳极化层;并在沉积罩的暴露表面上形成保护性阻挡层。
本发明提供在等离子加工系统中制造沉积罩的另一种方法,其包括以下步骤:制造沉积罩;遮住沉积罩的暴露表面以防止表面阳极化层的形成;阳极化沉积罩以在沉积罩上形成表面阳极化层;并在沉积罩的暴露表面上形成保护性阻挡层。
本发明提供在等离子加工系统中制造沉积罩的另一种方法,其包括以下步骤:制造沉积罩;并在沉积罩的暴露表面上形成保护性阻挡层。
本发明可选包括机械加工其它实际上不暴露于等离子中的部件。可机械加工这样的部件以便提供不受阳极化层影响的接触(如,为了提供更好的机械或电气连接)。
本发明可选包括遮住其它实际上不暴露于等离子中的部件。遮住这样的部件以便提供的接触(如,为了提供更好的机械或电气连接)。
本发明也提供组合的加工和遮住方法以提供暴露表面,在该表面上形成保护性阻挡层。
附图说明
本发明的这些和其它的优点可通过下面本发明示例性实施例结合附图的详细说明而更明显且更易于理解,其中:
图1示出包括根据本发明实施例的沉积罩的等离子加工系统简化的方框图;
图2示出根据本发明实施例的等离子加工系统的沉积罩的平面图;
图3示出根据本发明实施例的等离子加工系统的沉积罩的部分横截面视图;
图4示出根据本发明实施例的等离子加工系统的沉积罩的放大的横截面视图;
图5示出根据本发明实施例的等离子加工系统的沉积罩的下端面放大的视图;
图6给出根据本发明实施例的等离子加工系统的沉积罩中开口的放大的视图;
图7给出根据本发明实施例的等离子加工系统的开口沿其主轴的放大的横截面视图;
图8示出根据本发明实施例的等离子加工系统的开口的配合表面和固定表面的横截面视图;
图9给出制造根据本发明实施例的等离子加工系统的沉积罩的方法;
图10给出制造根据本发明另一个实施例的等离子加工系统的沉积罩的方法;以及
图11给出制造根据本发明另一个实施例的等离子加工系统的沉积罩的方法。
具体实施方式
根据本发明的实施例,描绘于图1中的等离子加工系统1包括等离子加工室10,上组件20,电极板24,用于支撑衬底35的衬底支持器30,耦合到真空泵(未示出)的泵送管道40,用于在等离子加工室10内提供低压空气(reduced pressure atmosphere)11。等离子加工室10可便于邻近衬底35的加工空间12内加工等离子的形成。等离子加工系统1可被配置成加工200mm的衬底,300mm的衬底或更大的衬底。
在所示的实施例中,上组件20可以包括盖子、气体注入组件、和上电极阻抗匹配网络中的至少一个。例如,电极板24可耦合到RF源。在另一个可替换实施例中,上组件20包括盖子和电极板24,其中电极板24被维持在等于等离子加工室10的电势。例如,等离子加工室10,上组件20,和电极板24可电连接至地电位。
等离子加工室10可以,例如,进一步包括沉积罩(depositionshield)14和光学观察口(viewport)16,该沉积罩14用于保护等离子加工室10免受加工等离子损伤。光学观察口16可包括光学窗口17,其耦合至光学窗口沉积罩18的背面,光学窗缘(flange)19可被配置以将光学窗口17耦合至光学窗口沉积罩18。可在光学窗缘(19)及光学窗口17之间,光学窗口17和光学窗口沉积罩18之间,以及光学窗口沉积罩18及等离子加工室10之间提供密封元件,如O形环。光学观察口16可以,例如,允许监视来自加工空间12中加工等离子的光发射。
衬底支持器30可以,例如,进一步包括垂直移动装置50,其由耦合到衬底支持器30和等离子加工室10上的波纹管(bellows)52包围,该波纹管52被配置以密封垂直移动装置50以使垂直移动装置50免受等离子加工室10中低压空气11的影响。此外,波纹管罩54可以,例如,被耦合至衬底支持器30上,并被配置以保护波纹管52免受加工等离子影响。衬底支持器10可以,例如,进一步耦合至聚焦环60、罩环62中的至少一个。而且挡板64可在衬底支持器30的周围延伸。
衬底35可以被,例如,可经自动衬底传递系统通过槽阀(slotvalve)(未示出)和加工室馈送(未示出)传递到等离子加工室10内或等离子加工室10外,其中衬底被安置在衬底支持器30中的衬底提销(lift pins)接收,并被安置在其中的装置机械地移动。一旦衬底35从衬底传递系统接收,其被降低至衬底支持器30的上表面。
衬底35可以,例如,通过静电夹持系统固定在衬底支持器30上。而且,衬底支持器30可以,例如,进一步包括冷却系统,该冷却系统包括再循环冷却剂流,其从衬底支持器30接收热,并将热传递至热交换器系统(未示出),或当加热时,传递来自热交换器系统的热。而且,气体可以,例如,经背面气体系统输送至衬底35的背面,以改善衬底35和衬底支持器30之间的气体间热传导。这样的系统可以当衬底温度控制需要在升高或降低的温度下时使用。在其它实施例中,可包括加热元件,如电阻加热元件,或热电加热器/冷却器。
在图1所示的实施例中,衬底支持器30可包括电极,通过它RF功率被耦合至加工空间12内加工等离子。可以通过经由阻抗匹配网络(未示出)到衬底支持器30的来自RF发生器(未示出)的RF功率的传输以RF电压电偏置衬底支持器30。RF偏压可用来加热电子以形成和维持等离子。在该配置中,系统可用作反应离子蚀刻(RIE)反应器,其中加工室和上气体注入电极用作接地表面。用于RF偏压的典型的频率范围在1MHz到100MHz之间,且优选在13.56MHz。用于等离子处理的RF系统对本领域技术人员来说是公知的。
可替换地,形成于加工空间12内的加工等离子可用平行板,电容耦合等离子(CCP)源,电感耦合等离子(ICP)源,及它们的任何组合形成,有或者没有DC磁铁系统。可替换地,加工空间12内的加工等离子可用电子回旋加速器共振(ECR)形成。在另一个实施例中,加工空间12内的加工等离子是从螺旋波(Helicon wave)发射形成的。在另一个实施例中,加工空间12内的加工等离子是通过传播的表面波形成的。
现参考图2(平面图)和图3(部分横截面视图)所示的本发明的实施例,沉积罩14可包括圆柱体,圆柱体包括内表面82,外表面84,上端面86,下端面88。而且,例如,沉积罩14的厚度范围在1到50mm之间,该厚度由从罩的内表面82到外表面84的距离规定。更可取地,该厚度的范围在5到20mm之间,而且,优选地,该厚度至少为10mm。
沉积罩14可,例如,进一步包括多个固定接收件(receptor)100,每个固定接收件100耦合到上端面86和下端面88,且被配置以接收固定装置(如固定杆)(未示出)以耦合沉积罩14至等离子加工系统1。图4示出沉积罩14和多个固定接收件100中一个的放大的横截面视图。固定接收件100可包括入口区域(entrant region)102,入口空腔104,出口通孔106,和内部固定表面108。而且,内部固定表面108可以,例如,包括第一入口表面110,第一唇缘(lip)表面112,第二入口表面114,第二唇缘表面116,和出口表面118。例如,在沉积罩14中形成的固定接收件100的数目范围在0到100之间。理想地,固定接收件100的数目范围可在5到20之间;而且,优选地,固定接收件100的数目至少为8。
此外,沉积罩14可,例如,包括一个或多个内螺纹(tapped)接收件孔119,该接收件孔119被配置以接收固定装置(如固定杆)(未示出)并被配置以将沉积罩14和等离子加工室10的元件,如上组件20耦合。例如,在沉积罩14内形成的内螺纹接收件孔119的数目范围在1到10之间;而且,优选地,内螺纹接收件孔119的数目至少为2。
图5给出沉积罩14的下端面88的放大的视图,其中下端面88进一步包括底唇缘(end lip)表面120,如图所示。
再参考图1,沉积罩14可,例如,进一步包括开口130以便容纳通过沉积罩14进入加工空间12的通路。在本发明的一个实施例中,开口130不是形成在沉积罩14中。在可替换的实施例中,开口130形成于沉积罩14中,以容纳沉积罩插塞(plug)(未示出)或光学窗口沉积罩中至少一个的插入,该光学窗口沉积罩如图1所示的光学窗口罩。对于进一步的细节,可参看共同未决的美国专利申请10/xxxxxx,其标题为“等离子加工系统中用于改进的光学窗口沉积罩的方法和设备”,律师事务所编号为226276US6YA,与本申请同一天申请,在此包含其整个内容作为参考。
在图6和7中分别给出,沉积罩14中的开口130的放大视图和沿开口130主轴的开口130的横截面视图。如图7所示,开口130可进一步包括耦合到沉积罩14的内表面82的第一开口表面132,耦合到沉积罩14的外表面84的第二开口表面134,和耦合到第一开口表面132和第二开口表面134的配合(mating)表面136。此外,配合表面136可包括至少一个内螺纹孔138,该内螺纹孔138用于接收带螺纹的固定器(未示出)以便将加工室插塞(未示出)或光学窗口沉积罩(未示出)中至少一个耦合至沉积罩14。如图8所示,配合表面136进一步包括固定表面140,该固定表面140紧邻内螺纹孔138并在内螺纹孔138中延伸。而且,例如,开口130的宽度(沿主轴)范围在1到100mm。理想地,该宽度范围可在10到40mm,且优选地,该宽度至少为25mm。而且,例如,开口130的高度(沿短轴)范围在1到100mm。理想地,该高度范围在10到40mm,且优选地,该高度至少为15mm。
现参考图2到8,沉积罩14进一步包括保护性阻挡层150,其形成于沉积罩14的多个暴露的表面145上。在本发明的一个实施例中,暴露的表面145可包括沉积罩14的内表面82,沉积罩14的上端面86,和沉积罩14的下端面88的底唇缘表面120。可替换地,除了开口130的固定表面140,暴露表面可进一步包括开口130的第一开口表面132,和配合表面136。
在本发明的实施例中,保护性阻挡层150可包括化合物,该化合物包括铝的氧化物,如Al2O3。在本发明的另一个实施例中,保护性阻挡层150可包括Al2O3和Y2O3的混合物。在本发明的另一个实施例中,保护性阻挡层150可包括一种第三族元素(周期表的第三栏)和镧系元素中的至少一种。在本发明的另一个实施例中,第三族元素可包括钇,钪和镧中至少一种。在本发明的另一个实施例中,镧系元素可包括铈,镝和铕中至少一种。在本发明的另一个实施例中,形成保护性阻挡层150的化合物可包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和Dy2O3中的至少一种。
在本发明实施例中,形成于沉积罩14上的保护性阻挡层150具有最小厚度,其中沿至少一个暴露表面145的最小厚度可规定为恒定值。在另一个实施例中,最小厚度可以是沿暴露表面145的变量。可替换地,最小厚度在暴露表面的第一部分之上是恒定的,而在暴露表面的第二部分之上是变化的。例如,可变厚度可发生在弯曲表面上,在拐角处,或在孔中。例如,最小厚度的范围在0.5微米到500微米之间。理想地,最小厚度范围在100微米到200微米之间,且优选地,最小厚度至少为120微米。
图9给出图1中所描绘的按照本发明实施例的一种在等离子加工系统中制造沉积罩的方法。流程图300从制造沉积罩14(如上所述)的310开始。制造沉积罩可包括机械加工,铸造,抛光,锻造,和研磨中的至少一种。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工元件的技术对于机械加工领域技术人员是公知的。沉积罩可,例如由铝制造。
在320中,沉积罩被阳极化以形成表面阳极化层。例如,当由铝制造沉积罩时,表面阳极化层可包括氧化铝(Al2O3)。阳极化铝元件的方法是表面阳极化领域的技术人员所公知的。
在330中,表面阳极化层是用标准的机械加工技术从暴露表面145上去除的。在本发明的实施例中,暴露表面包括沉积罩的内表面,沉积罩的上端面,和沉积罩的下端面的底唇缘表面。可替换地,除了沉积罩中开口的固定表面,暴露表面可进一步包括开口的第一开口表面,和配合表面。也可在该步骤中,或在独立步骤中,机械加工额外的非暴露表面。可机械加工这样的非暴露表面以便在这些部件和与这些部件配合的部件之间提供更好的机械或电气连接(contact)。
在340中,保护性阻挡层在暴露表面145上形成。包括,例如,氧化钇的保护性阻挡层可用(热)喷涂技术形成,该技术是陶瓷喷涂领域技术人员所公知的。在可替换的实施例中,形成保护性阻挡层可进一步包括抛光(或修平)该热喷涂层。例如,抛光该热喷涂层可包括对喷涂的表面应用砂纸打磨。
图10给出在图1所描绘的按照本发明另一个实施例的等离子加工系统中制造沉积罩的方法。流程图400从制造沉积罩14的410开始(如上所述)。制造沉积罩可包括机械加工,铸造,抛光,锻造,和研磨中的至少一种。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工元件的技术对于机械加工领域技术人员是公知的。沉积罩可,例如由铝制造。
在420中,暴露的表面被遮住(mask)以防止其上的表面阳极化层的形成。在该步骤中,或独立的步骤中,遮住额外的非暴露表面。可遮住这样的非暴露表面以便在这些部件和与这些部件配合的部件之间提供更好的机械或电气连接。用于表面遮住和露出(unmask)的技术是表面覆盖和表面阳极化领域中技术人员所公知的。
在步骤430,沉积罩被阳极化以在余下未遮住的表面上形成表面阳极化层。例如,当由铝制造沉积罩时,表面阳极化层可包括氧化铝(Al2O3)。阳极化铝元件的方法是表面阳极化领域中技术人员所公知的。
在440中,在暴露表面145上形成保护性阻挡层150。包括,例如氧化钇的保护性阻挡层可用(热)喷涂技术形成,该技术是陶瓷喷涂领域技术人员所公知的。在可替换的实施例中,形成保护性阻挡层可进一步包括抛光(或修平(smooth))该热喷涂层。例如,抛光该热喷涂层可包括对喷涂的表面应用砂纸打磨。
图11给出在图1所描绘的按照本发明另一个实施例的等离子加工系统中制造沉积罩的方法。流程图500从510制造沉积罩14开始(如上所述)。制造沉积罩可包括加工,铸造,抛光,锻造,和研磨中的至少一种。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工元件的技术对于机械加工领域技术人员是公知的。沉积罩可,例如,由铝制造。
在520中,保护性阻挡层是在沉积罩的暴露表面上形成的。包括,例如,氧化钇的保护性阻挡层可用(热)喷涂技术形成,该技术是陶瓷喷涂领域技术人员所公知的。在本发明的实施例中,暴露表面包括沉积罩的内表面,沉积罩的上端面,和沉积罩的下端面的底唇缘表面。可替换地,除了沉积罩中开口的固定表面,暴露表面可进一步包括开口的第一开口表面,和配合表面。可替换地,暴露表面包括沉积罩上的所有表面。在可替换的实施例中,形成保护性阻挡层可进一步包括抛光(或修平)热喷涂层。例如,抛光热喷涂层可包括对喷涂的表面应用砂纸打磨。
参考图9-11说明的,在暴露表面145上形成保护性阻挡层150的工艺,可被修改以利用机械加工和遮住的组合。在这样修改的工艺中,至少一个暴露表面145被遮住以防止其上形成阳极化层,而其它的暴露表面145被阳极化。然后,未被遮住的暴露表面145被机械加工,且被遮住的暴露表面被露出。然后可在所有暴露表面145上形成保护性阻挡层150可。如上所述,不是暴露表面的额外的表面也可以在该方法的实施过程中被机械加工(如,为了提供比其上形成阳极化层更好的机械或电气连接)。
虽然上面只详细说明了本发明某些示例性实施例,本领域技术人员将易于理解在示例性实施例中可做出许多修改,而本质上不偏离本发明的教导的新颖性和优点。因此,所有这样的修改被包括在本发明的范畴内。

Claims (55)

1、一种用于包围等离子加工系统中加工空间的改进的沉积罩,其包括:
圆柱体,其包括内表面、外表面、上端面和下端面,其中所述下端面进一步包括底唇缘表面;以及
保护性阻挡层,其耦合至所述沉积罩的多个暴露表面上,其中所述暴露表面包括所述内表面、所述上端面、和所述下端面的所述底唇缘表面,
其中所述沉积罩包括阳极化的表面,并且所述暴露表面上没有阳极化。
2、如权利要求1所述的改进的沉积罩,其中所述沉积罩进一步包括多个固定接收件,所述固定接收件耦合至所述沉积罩的所述上端面和所述下端面,并被配置以接收固定装置以便耦合所述沉积罩至所述等离子加工系统。
3、如权利要求2所述的改进的沉积罩,其中所述多个固定接收件的每个都包括入口区域,入口空腔,出口通孔,内固定表面。
4、如权利要求3所述的改进的沉积罩,其中所述多个固定接收件中每个的所述内固定表面包括第一入口表面,第一唇缘表面,第二入口表面,第二唇缘表面,和出口表面。
5、如权利要求1所述的改进的沉积罩,其中所述沉积罩进一步包括开口,以便通过所述沉积罩进入所述加工空间。
6、如权利要求5所述的改进的沉积罩,其中所述开口包括第一开口表面,第二开口表面,和配合表面。
7、如权利要求6所述的改进的沉积罩,其中所述配合表面包括至少一个有螺纹的孔和耦合至其上的固定表面。
8、如权利要求1所述的改进的沉积罩,进一步包括金属。
9、如权利要求8所述的改进的沉积罩,其中所述金属包括铝。
10、如权利要求1所述的改进的沉积罩,其中所述保护性阻挡层包括化合物,该化合物包含第三族元素和镧系元素中的至少一种。
11、如权利要求10所述的改进的沉积罩,其中所述第三族元素包括钇,钪和镧中的至少一种。
12、如权利要求10所述的改进的沉积罩,其中所述镧系元素包括铈,镝,和铕中的至少一种。
13、如权利要求1所述的改进的沉积罩,其中所述保护性阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,Dy2O3中的至少一种。
14、如权利要求1所述的改进的沉积罩,其中所述保护性阻挡层包含最小厚度,并且所述最小厚度沿所述暴露表面的至少一个是恒定值。
15、如权利要求1所述的改进的沉积罩,其中所述保护性阻挡层包括可变厚度,该可变厚度范围在0.5到500微米之间。
16、如权利要求6所述的改进的沉积罩,其中在所述沉积罩中所述多个暴露表面进一步包括所述开口的所述第一开口表面和所述开口的所述配合表面。
17、如权利要求7所述的改进的沉积罩,其中在所述沉积罩中所述多个暴露表面进一步包括所述开口的第一开口表面,和除所述固定表面外的所述开口的所述配合表面。
18、如权利要求17所述的改进的沉积罩,其中所述多个暴露表面进一步包括所述开口的所述第二开口表面。
19、如权利要求7所述的改进的沉积罩,其中在所述沉积罩中所述多个暴露表面进一步包括所述开口的第一开口表面,和包括所述固定表面的所述开口的所述配合表面。
20、如权利要求19所述的改进的沉积罩,其中所述多个暴露表面进一步包括所述开口的所述第二开口表面。
21、如权利要求19所述的改进的沉积罩,其中所述外表面包括阳极化层。
22、如权利要求19所述的改进的沉积罩,其中所述第二开口表面包括阳极化层。
23、如权利要求19所述的改进的沉积罩,其中所述配合表面包含金属表面。
24、如权利要求1所述的改进的沉积罩,其中所述圆柱体的直径大于200mm。
25、一种制造用于包围等离子加工系统中加工空间的改进的沉积罩的方法,所述方法包括:
制造所述沉积罩,所述沉积罩包括内表面,外表面,上端面,和下端面,其中所述下端面进一步包括底唇缘表面;
在所述沉积罩的至少一部分上设置阳极化层;以及
在其上不具有阳极化层的暴露表面上形成保护性阻挡层,所述暴露表面包括所述沉积罩的所述内表面,所述沉积罩的所述上端面,和所述沉积罩的所述下端面的所述底唇缘表面。
26、如权利要求25所述的方法,其进一步包括:
阳极化所述沉积罩以在所述沉积罩上形成表面阳极化层;以及
将所述暴露表面上的所述表面阳极化层去除。
27、如权利要求26所述的方法,其中所述去除包括机械加工,修平,抛光和研磨中的至少一种。
28、如权利要求25所述的方法,所述方法进一步包括:
遮住所述沉积罩上的所述暴露表面以防止表面阳极化层的形成;
阳极化所述沉积罩以在所述沉积罩的未遮住的表面上形成表面阳极化层;以及
露出所述暴露表面。
29、如权利要求25所述的方法,其中所述制造包括加工,涂覆,遮住,露出,抛光,锻造,和研磨中的至少一种。
30、如权利要求25所述的方法,其中所述形成包括喷涂,加热,和冷却中的至少一种。
31、如权利要求25所述的方法,所述方法进一步包括修平所述保护性阻挡层。
32、如权利要求25所述的方法,其中所述沉积罩进一步包括多个固定接收件,其耦合至所述沉积罩的所述上端面和所述下端面,且被配置以接收固定装置以便耦合所述沉积罩至所述等离子加工系统。
33、如权利要求32所述的方法,其中所述多个固定接收件的每个都包括入口区域,入口空腔,出口通孔,和内固定表面。
34、如权利要求33所述的方法,其中所述多个固定接收件的每个的所述内固定表面包括第一入口表面,第一唇缘表面,第二入口表面,第二唇缘表面,和出口表面。
35、如权利要求34所述的方法,进一步包括机械加工所述第一入口表面,所述第一唇缘表面,所述第二入口表面,所述第二唇缘表面,和所述出口表面。
36、如权利要求25所述的方法,其中所述沉积罩进一步包括开口以便通过所述沉积罩进入所述加工空间。
37、如权利要求36所述的方法,其中所述开口包括第一开口表面,第二开口表面,和配合表面。
38、如权利要求37所述的方法,其中所述配合表面包括至少一个有螺纹的孔和耦合到其上的固定表面。
39、如权利要求38所述的方法,其中所述多个暴露表面进一步包括所述开口的所述第一开口表面和所述开口的所述配合表面的所述固定表面。
40、如权利要求38所述的方法,其中所述多个暴露表面进一步包括所述开口的所述第一开口表面,和除所述固定表面外的所述开口的所述配合表面。
41、如权利要求37所述的方法,其中所述多个暴露表面进一步包括所述开口的所述第二开口表面。
42、如权利要求25所述的方法,进一步包括金属。
43、如权利要求42所述的方法,其中所述金属包括铝。
44、如权利要求25所述的方法,其中所述保护性阻挡层包括化合物,该化合物包含第三族元素和镧系元素中的至少一种。
45、如权利要求44所述的方法,其中所述第三族元素包括钇,钪和镧中的至少一种。
46、如权利要求44所述的方法,其中所述镧系元素包括铈,镝,和铕中的至少一种。
47、如权利要求25所述的方法,其中所述保护性阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,Dy2O3中的至少一种。
48、如权利要求25所述的方法,其中所述保护性阻挡层包含最小厚度,并且所述最小厚度沿所述暴露表面的至少一个是恒定值。
49、如权利要求25所述的方法,其中所述保护性阻挡层包括可变厚度,且该可变厚度范围在0.5到500微米之间。
50、一种制造用于包围等离子加工系统中加工空间的改进的沉积罩的方法,所述方法包括以下步骤:
制造所述沉积罩,所述沉积罩包括内表面,外表面,上端面,和下端面,其中所述下端面进一步包括底唇缘表面;
阳极化所述沉积罩以在所述沉积罩上形成表面阳极化层;
机械加工所述沉积罩上暴露的表面以去除所述表面阳极化层,所述暴露的表面包括所述沉积罩的所述内表面,所述罩的所述上端面,所述沉积罩的所述下端面的所述底唇缘表面;以及
在所述暴露的表面上形成保护性阻挡层。
51、如权利要求50所述的方法,其中所述保护性阻挡层包括化合物,该化合物包含第三族元素和镧系元素中的至少一种。
52、如权利要求50所述的方法,其中所述保护性阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,Dy2O3中的至少一种。
53、一种制造用于包围等离子加工系统中加工空间的改进的沉积罩的方法,所述方法包括以下步骤:
制造所述沉积罩,所述沉积罩包括内表面,外表面,上端面,和下端面,其中所述下端面进一步包括底唇缘表面;
遮住所述沉积罩上的暴露的表面以防止表面阳极化层的形成,所述暴露的表面包括所述沉积罩的所述内表面,所述沉积罩的所述上端面,所述沉积罩的所述下端面的所述底唇缘表面;
阳极化所述沉积罩以在所述沉积罩上形成表面阳极化层;以及
在所述暴露的表面上形成保护性阻挡层。
54、如权利要求53所述的方法,其中所述保护性阻挡层包括化合物,该化合物包含第三族元素和镧系元素中的至少一种。
55、如权利要求53所述的方法,其中所述保护性阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,Dy2O3中的至少一种。
CNB038232448A 2002-09-30 2003-09-29 等离子加工系统中用于改进的沉积罩的方法和设备 Expired - Fee Related CN100466153C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,353 US7137353B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved deposition shield in a plasma processing system
US10/259,353 2002-09-30

Publications (2)

Publication Number Publication Date
CN1685465A CN1685465A (zh) 2005-10-19
CN100466153C true CN100466153C (zh) 2009-03-04

Family

ID=32029490

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038232448A Expired - Fee Related CN100466153C (zh) 2002-09-30 2003-09-29 等离子加工系统中用于改进的沉积罩的方法和设备

Country Status (6)

Country Link
US (2) US7137353B2 (zh)
JP (2) JP2006501608A (zh)
KR (1) KR100704069B1 (zh)
CN (1) CN100466153C (zh)
AU (1) AU2003272031A1 (zh)
WO (1) WO2004030426A2 (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7241345B2 (en) * 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7959984B2 (en) 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP2008177479A (ja) * 2007-01-22 2008-07-31 Tokyo Electron Ltd プラズマ処理装置の部品及びその製造方法
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090056625A1 (en) * 2007-08-29 2009-03-05 United Microelectronics Corp. Shielding member of processing system
JP5351625B2 (ja) * 2009-06-11 2013-11-27 三菱重工業株式会社 プラズマ処理装置
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
CN102586724B (zh) * 2012-02-28 2014-08-13 南京航空航天大学 钛-铝系金属间化合物表面渗镀铝的方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
CN107275438B (zh) * 2014-12-24 2019-04-05 新奥光伏能源有限公司 异质结太阳能电池的制作方法以及用于生产电池的模具
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10786821B2 (en) * 2018-07-31 2020-09-29 Nordson Corporation Systems and methods for coating with shear and moisture sensitive materials

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
EP0814495A2 (en) * 1996-06-20 1997-12-29 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
CN1319247A (zh) * 1998-09-25 2001-10-24 兰姆研究公司 低污染、高密度等离子蚀刻腔体及其加工方法

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
JPH06224137A (ja) 1992-06-05 1994-08-12 Applied Materials Inc 腐食性化学物質と接触する石英窓表面に化学的耐食性の酸化アルミニウムの保護被膜を備えた集積回路構造プロセス装置
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) * 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
DE19710977A1 (de) 1997-03-17 1998-09-24 Clariant Gmbh Illustrationstiefdruckfarbe auf Basis von C.I.Pigment Yellow 139
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
KR100226708B1 (ko) 1997-06-26 1999-10-15 전주범 직교분할대역 채널 등화기의 계수 메모리를 위한 어드레스 발생 장치
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JP3779444B2 (ja) 1997-07-28 2006-05-31 富士写真フイルム株式会社 赤外線レーザ用ポジ型感光性組成物
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE50100469D1 (de) 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
ATE404982T1 (de) 2001-02-07 2008-08-15 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
US6724140B2 (en) 2001-09-21 2004-04-20 Fuji Photo Film Co., Ltd. Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
EP0814495A2 (en) * 1996-06-20 1997-12-29 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
CN1319247A (zh) * 1998-09-25 2001-10-24 兰姆研究公司 低污染、高密度等离子蚀刻腔体及其加工方法
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Also Published As

Publication number Publication date
JP2011049173A (ja) 2011-03-10
JP2006501608A (ja) 2006-01-12
AU2003272031A1 (en) 2004-04-19
WO2004030426A3 (en) 2004-12-29
JP5165039B2 (ja) 2013-03-21
US8117986B2 (en) 2012-02-21
KR100704069B1 (ko) 2007-04-06
US7137353B2 (en) 2006-11-21
KR20050061497A (ko) 2005-06-22
US20070028839A1 (en) 2007-02-08
CN1685465A (zh) 2005-10-19
US20040060657A1 (en) 2004-04-01
AU2003272031A8 (en) 2004-04-19
WO2004030426A2 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
CN100466153C (zh) 等离子加工系统中用于改进的沉积罩的方法和设备
CN100555550C (zh) 等离子加工系统中的改进的上电极板的方法和装置
CN100380564C (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
US7163585B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
KR20060108612A (ko) 개선된 포커스 링을 위한 방법 및 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090304