JP2006501645A - プラズマ処理システムにおける改良された上部電極板のための方法及び装置 - Google Patents

プラズマ処理システムにおける改良された上部電極板のための方法及び装置 Download PDF

Info

Publication number
JP2006501645A
JP2006501645A JP2004539373A JP2004539373A JP2006501645A JP 2006501645 A JP2006501645 A JP 2006501645A JP 2004539373 A JP2004539373 A JP 2004539373A JP 2004539373 A JP2004539373 A JP 2004539373A JP 2006501645 A JP2006501645 A JP 2006501645A
Authority
JP
Japan
Prior art keywords
electrode plate
protective barrier
gas injection
plasma processing
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004539373A
Other languages
English (en)
Inventor
秀仁 三枝
均 高瀬
康至 三橋
博之 中山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006501645A publication Critical patent/JP2006501645A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Sealing Battery Cases Or Jackets (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【課題】プラズマ処理システム用の改良された上部電極を提供する。
【解決手段】プラズマ処理システム用の上部電極は、第1の面82、第2の面88、周辺エッジ94、及び1つ以上のガス注入オリフィス100を具備する電極板24を具備する。第1の面82は、電極板24を上部アセンブリ20に結合するように配設される。第2の面88は、プラズマ処理システム10内の処理空間12に面するプラズマ面90と、電極板24をプラズマ処理システム10と係合させる係合面92とを含む。1つ以上のガス注入オリフィス100は、第1の面82及び第2の面88に結合されると共に、処理ガスを処理空間12に供給するように構成される。プラズマ面90を含む電極板24の複数の露出面140に保護バリア150が結合される。

Description

本発明は、プラズマ処理システム用の改良されたコンポーネントに関し、特に、処理ガスを導入するため、プラズマ処理システムにおいて使用される上部電極に関する。
本件出願は、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置」)、代理人番号226272US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号226274US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号228411US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された堆積シールドのための方法及び装置」)、代理人番号226275US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された光学窓堆積シールドのための方法及び装置」)、代理人番号226276US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたベローズシールドのための方法及び装置」)、代理人番号226277US6YAと、に関連している。これらの全ての明細書の内容全体は参考文献として本願に全体的に組み込まれる。
半導体産業における集積回路(IC)の作製では、基板から材料を除去するため並びに基板に対して材料を堆積するのに必要な表面での化学反応を、プラズマ反応室内で創出すると共に支援するため、プラズマが一般的に使用される。通常、プラズマは真空状態のプラズマ反応室内で形成され、これは、供給された処理ガスとのイオン化衝突を維持するのに十分なエネルギにまで電子を加熱することにより行われる。更に、加熱された電子は、解離衝突を維持するのに十分なエネルギを具備することができる。従って、チャンバ内で行われる特定の処理(例えば、材料を基板から除去するエッチング処理、または材料を基板に付加する堆積処理)に適した荷電種及び化学反応種の密度が発生されるように、所定の条件(例えば、チャンバ圧力、ガス流量等)における特定のガスの組み合わせが選択される。
基板表面でプラズマ処理システムの機能(即ち、材料のエッチング、材料の堆積等)を実行するため、荷電種(イオン等)及び化学反応種の密度を形成することが必要となる。しかし、処理チャンバの内部におけるその他のコンポーネント表面は、物理的及び化学的に活性なプラズマに露出され、時間の経過と共に腐食する。プラズマ処理システムにおける露出されたコンポーネントの腐食は、プラズマ処理性能を漸進的に劣化させると共に、最終的には、システムを完全に故障させる原因となる。
処理プラズマに対する露出により継続する損傷を最少にするため、処理プラズマに対する露出を維持することで知られているプラズマ処理システムのコンポーネントが、保護バリアで被覆される。例えば、アルミニウムから作製されるコンポーネントは、プラズマに対してより耐性がある酸化アルミニウムの表面層を生成するように陽極酸化されることができる。他の例では、シリコン、石英、アルミナ、カーボン、またはシリコンカーバイドから作製されるような、消耗可能または交換可能なコンポーネントが、より貴重なコンポーネント(頻繁に交換するとコストを増加させる)の表面を保護するために処理チャンバ内に挿入されることができる。更に、望ましくない汚染物質や不純物などが処理プラズマに導入され且つ場合によっては基板上に形成されるデバイスにまで導入されることを最少化することができる表面材料を選択することが望ましい。
上述の両方の場合において、保護バリアの一体性または保護バリア作製の一体性や、交換可能なコンポーネントの消耗性により、保護被覆の故障は不可避なもので、プラズマ処理システムの頻繁なメンテナンスが必要となる。この頻繁なメンテナンスは、プラズマ処理の休止時間や新しいプラズマ処理チャンバコンポーネントに関連するコストを発生させ、それが過大なものとなる可能性がある。
本発明は、プラズマ処理システム用の改良された上部電極を提供し、この上部電極の構造及び製法は、上述の欠点を有効に解決する。
本発明のある視点によれば、プラズマ処理システムの上部アセンブリに結合することができる電極板が提供される。この電極板は、電極板を上部アセンブリに結合する第1の面と、第1の面の反対側にあり、プラズマ処理システム内の処理プラズマと面するように構成されたプラズマ面及びプラズマ処理システムと係合するための係合面を具備する第2の面と、周辺エッジとを具備する。
電極板は、1つ以上のガス注入オリフィスを更に具備する。各ガス注入オリフィスは、処理ガスを受ける入口領域と、処理ガスをプラズマ処理システムに供給する出口領域とを具備し、出口領域は、注入面を具備する。
電極板は、電極板を上部アセンブリへ取り付けるため、締結具を受けるための複数の締結レセプタを更に含む。
電極板は、第1の面に結合されると共に、処理ガスを受け且つ処理ガスを1つ以上のガス注入オリフィスへ分配するように構成されたプレナム凹部(plenum cavity)を更に含む。
電極板は、電極板の第1の面に結合されると共に、電極板を上部アセンブリにシールするように構成された第1のシール部を更に含む。
電極板は、診断ポートと、電極板の第1の面に結合されると共に、上部アセンブリにより診断ポートをシールするように構成された第2のシール部とを更に具備することができる。診断ポートは、入口凹部と、内面を有する出口貫通穴とを含むことができる。
電極板は、処理プラズマに面する電極板の複数の露出面上に形成された保護バリアを更に具備する。
本発明の別の視点によれば、電極板の複数の露出面は、電極板の第2の面のプラズマ面を含むことができる。更に、露出面は、1つ以上のガス注入オリフィスの出口領域の注入面と、診断ポートの出口貫通孔の内面とを含むことができる。
本発明によれば、プラズマ処理システムの電極板を作製する方法が提供される。この方法は、電極板を作製するステップと、電極板上に表面陽極酸化層を形成するために電極板を陽極酸化するステップと、表面陽極酸化層を除去するために電極板上の露出面を機械加工するステップと、露出面上に保護バリアを形成するステップと、を具備する。本発明はまた、プレナム凹部と、第1のシール部と、第2のシール部とを除く電極板の第1の面を機械加工するステップを随意選択的に含むことができる。
本発明によれば、プラズマ処理システムの電極板を作製する別の方法が提供される。この方法は、電極板を製作するステップと、表面陽極酸化層の形成を阻止するために電極板上の露出面をマスクするステップと、電極板上に表面陽極酸化層を形成するために電極板を陽極酸化するステップと、露出面を脱マスクするステップと、露出面上に保護バリアを形成するステップと、を具備する。本発明はまた、他の非露出面(例えば、プレナム凹部と、第1のシール部と、第2のシール部とを除く電極板の第1の面)をマスクするステップを随意選択的に含むことができる。
本発明によれば、プラズマ処理システムにおける上部電極のための電極板を作製する別の方法が提供される。この方法は、電極板を製作するステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、機械加工及びマスキングを組み合わせて保護バリアを受けるための露出面を処理し、次に露出面上に保護バリアを形成する方法を含むことができる。例えば、2つの露出面が陽極酸化前にマスクされ、他の2つの表面が陽極酸化後に機械加工されることにより、保護バリアが形成される4つの露出面を生成することができる。
本発明のこれら及びその他の利点は、添付図面を参照した以下の本発明の例示的な実施形態の詳細な説明から更に明白になり、容易に認識されるであろう。
本発明の1実施形態によれば、プラズマ処理システム1は、図1に示されるように、プラズマ処理チャンバ10、上部アセンブリ20、電極板24、基板35を支持するための基板ホルダ30、プラズマ処理チャンバ10内に減圧雰囲気11を与えるための真空ポンプ(図示せず)に結合されたポンプダクト40を具備する。プラズマ処理チャンバ10は、基板35付近の処理空間12内における処理プラズマの形成を容易にする。プラズマ処理システム1は、種々の基板(即ち、200mmの基板、300mmの基板、または、それより大きい基板)を処理するように構成することができる。
図示の実施形態において、上部アセンブリ20は、カバー、ガス注入アセンブリ、及び上部電極インピーダンス整合ネットワークの少なくとも1つを具備することができる。例えば、電極板24は、RF源に結合されると共に、プラズマ処理システム1用の上部電極に寄与することができる。別の実施形態において、上部アセンブリ20は、カバーと電極板24とを具備し、電極板24は、プラズマ処理チャンバ10の電位に等しい電位に維持される。例えば、プラズマ処理チャンバ10と、上部アセンブリ20と、電極板24とは、接地電位に電気的に接続されると共に、プラズマ処理システム1用の上部電極に寄与することができる。
プラズマ処理チャンバ10は、例えば、処理空間12内の処理プラズマからプラズマ処理チャンバ10を保護するための堆積シールド14と、光学的な覗きポート16とを更に具備することができる。光学的な覗きポート16は、光学窓堆積シールド18の後側に結合された光学窓17と、光学窓17を光学窓堆積シールド18に結合するように構成された光学窓フランジ19とを具備することができる。Oリングのようなシール部材が、光学窓フランジ19と光学窓17との間、光学窓17と光学窓堆積シールド18との間、及び光学窓堆積シールド18とプラズマ処理チャンバ10との間に夫々配設されることができる。光学窓堆積シールド18は、堆積シールド14内の開口70を通して延在することができる。光学的な覗きポート16により、例えば、処理空間12内の処理プラズマからの光放出をモニタすることが可能となる。
基板ホルダ30は、例えば、ベローズ52により包囲された垂直移動装置50を更に具備する。ベローズ52は、基板ホルダ30とプラズマ処理チャンバ10とに結合されると共に、プラズマ処理チャンバ10内の減圧雰囲気11から垂直移動装置50をシールする。更に、ベローズシールド54が、例えば、基板ホルダ30に結合され、ベローズ52を処理プラズマから保護するように構成することができる。基板ホルダ30は、例えば、更にフォーカスリング60及びシールドリング62の少なくとも一方に結合されることができる。更に、バッフル板64が、基板ホルダ30の周囲を囲んで延在することができる。
基板35は、例えば、ロボット型の基板搬送システムによって、スロット弁(図示せず)及びチャンバ供給通路(図示せず)を通してプラズマ処理チャンバ10に対して搬送されることができる。基板35は、基板ホルダ30内に配設された基板リフトピン(図示せず)により受け取られ、そこに内蔵された装置によって機械的に移動される。基板35は、基板搬送システムから受け取られると、基板ホルダ30の上面まで下降される。
基板35は、例えば、静電クランプシステムを介して基板ホルダ30に固定されることができる。更に、基板ホルダ30は、例えば、再循環冷媒流を含む冷却システムを具備することができる。冷媒流は、基板ホルダ30から熱を受取り、その熱を熱交換システム(図示せず)へ搬送するか、或いは、加熱のため、熱交換システムから熱を搬送する。更に、例えば、基板35と基板ホルダ30との間のガスギャップ熱伝導を改善するため、バックサイドガスシステムを介して基板35の裏面に対してガスを供給することができる。このようなシステムは、上昇または下降された温度において基板の温度制御が必要とされるときに利用可能となる。別の実施形態において、抵抗加熱素子のような加熱素子や熱電ヒータ/クーラを含むことができる。
図1に示される実施形態において、基板ホルダ30は、電極を有することができ、その電極を通ってRF電力が処理空間12内の処理プラズマに結合される。例えば、基板ホルダ30は、RF発生器(図示せず)からインピーダンス整合ネットワーク(図示せず)を介して基板ホルダ30へ伝送されるRF電力により、RF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成し且つ維持するために電子を加熱するように動作することができる。この構成では、システムは、反応性イオンエッチング(RIE)の反応室として動作することができ、チャンバと上部ガス注入電極とは、接地面として動作する。RFバイアスの典型的な周波数は、1MHz乃至100MHzの範囲であり、望ましくは13.56MHzである。プラズマ処理用のRFシステムは、当業者によく知られている。
代わりに、処理空間12内で形成される処理プラズマは、平行平板、容量結合プラズマ(CCP)ソース、誘導結合プラズマ(ICP)ソース、それらの任意の組み合わせを使用し、DC磁石システムが有る状態または無い状態で形成されることができる。代わりに、処理空間12内の処理プラズマは、電子サイクロトロン共鳴(ECR)を使用して形成されることができる。更に別の実施形態において、処理空間12内の処理プラズマは、ヘリコン波の発射によって形成される。更に別の実施形態において、処理空間12内の処理プラズマは、伝播する表面波から形成される。
図2(平面図)及び図3(断面図)に示される本発明の例示された実施形態を参照すると、電極板24は、第1の面82、第2の面88、及び周辺エッジ94を具備する。第1の面82は、電極板24を上部アセンブリ20に結合するための結合面82aを有する。第2の面88は、プラズマ処理チャンバ10内の処理プラズマに面するように構成されたプラズマ面90と、電極板80をプラズマ処理チャンバ10と係合させる係合面92とを有する。
図4は、電極板24の周辺エッジ94近傍における係合面92及びプラズマ面90の拡大図である。
図2及び図3の参照を続けると共に、図5に示されるように、電極板24は、更にプレナム面82bと第2の面88とに結合される1つ以上のガス注入オリフィス100を含む。各ガス注入オリフィス100は、処理ガスを受けるための入口領域102と、処理ガスをプラズマ処理チャンバ10に供給するための出口領域104とを具備する。出口領域104は、プラズマ面90に隣接する注入面106を具備する。処理ガスは、酸化物エッチング用では、例えば、アルゴン、CF、O、またはアルゴン、C、Oのようなガスの混合物を具備することができ、更に、例えば、O/CO/Ar/C、O/Ar/C、O/CO/Ar/C、O/CO/Ar/C、O/Ar/C、N/Hのような他の化学物質を具備することができる。
例えば、電極板24内に形成されるガス注入オリフィス100の数は、1乃至10000の範囲とすることができる。ガス注入オリフィス100の数は、望ましくは50乃至500の範囲であり、より望ましくは100以上である。更に、例えば、ガス注入オリフィスの直径は、0.1乃至20mmの範囲とすることができる。この直径は、望ましくは0.5乃至5mmの範囲であり、より望ましくは0.5乃至2mmである。更に、例えば、ガス注入オリフィスの長さは、1乃至20mmの範囲とすることができる。この長さは、望ましくは2乃至15mmの範囲であり、より望ましくは3乃至12mmの範囲である。
更に、図3に示されるように、電極板24は、第1の面82の一部であるプレナム面82bを有するプレナム凹部84を具備する。プレナム凹部84は、処理ガスを受取り、それを複数のガス注入オリフィス100へ分配するように構成される。
更に、電極板24は、電極板24の結合面82aに結合され、上部アセンブリ20によって電極板24をシールするように構成された第1のシール部86aを具備することができる。第1のシール部は、例えば、Oリングを受けるように構成された鳩尾形の断面または長方形の断面を具備することができる。別の実施形態において、電気的結合部(図示せず)が、電極板24と上部アセンブリ20との間に改良された電気結合を提供するため、電極板24の結合面82aと一体化されることができる。電気的結合部は、例えば、真空処理の当業者に知られている「Spirashield(市場では、Spira Manufacturing Companyから入手可能)」を具備することができる。
電極板24は、更に、電極板24を上部アセンブリ20に取付けるために(ボルトのような)締結具(図示せず)を受けるため、複数の締結レセプタ110を含むことができる。例えば、電極板24内に形成される締結レセプタ110の数は、1乃至100の範囲とすることができる。締結レセプタ110の数は、望ましくは5乃至20の範囲であり、より望ましくは8以上である。
電極板24は、診断ポート120と、電極板24の結合面82aに結合されると共に上部アセンブリ20によって診断ポート120をシールするように構成された第2のシール部122とを更に具備することができる。図6に示されるように、診断ポート120は、入口凹部124と出口貫通孔126とを含むことができ、出口貫通孔126はプラズマ面90に隣接する内面128を具備する。同様に、第2のシール部は、例えば、Oリングを受けるように構成された鳩尾形の断面または長方形の断面を具備することができる。診断ポート120は、診断システム(図示せず)をプラズマ処理チャンバ10の減圧雰囲気11と結合させるために使用されることができる。例えば、診断システムは、圧力計を具備することができる。
更に、電極板24は、例えば、電極板24を上部アセンブリ20に適切に結合するための1つ以上のアライメント部130を具備することができる。1つ以上のアライメント部は、例えば、図2に示すように、2つのスロットを具備することができる。
図5及び図6に例示されるように、複数の露出面140として、電極板24の第2の面88のプラズマ面90、1つ以上のガス注入オリフィス100の注入面106、及び診断ポート120の内面128を含むことができる。代わりに、電極板24の全ての表面が、露出面に含まれる可能性がある。
図2乃至図6を参照すると、電極板24は、電極板24の露出面140上に形成された保護バリア150を更に具備する。本発明の1実施形態において、保護バリア150は、Alのようなアルミニウム酸化物を含む化合物を具備することができる。本発明の別の実施形態において、保護バリア150は、AlとYとの混合物を具備する。本発明の別の実施形態において、保護バリア150は、III族元素(周期律表の第III族)及びランタノイド系元素の少なくとも一方を具備する。本発明の別の実施形態において、III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する。本発明の別の実施形態において、ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する。本発明の別の実施形態において、保護バリア150を形成する化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する。
本発明の1実施形態において、電極板24上に形成される保護バリア150は、最小の厚さを有する。この最小の厚さは、露出面140の少なくとも1つの面内で一定のものとして特定されることができる。別の実施形態において、この最小の厚さは、露出面140の面内で変化されることができる。代わりに、この最小の厚さは、露出面の第1の部分上では一定であり、露出面の第2の部分上では変化されるものであってもよい。例えば、厚さの変化は、湾曲面上、角部上、或いは穴内で発生する可能性がある。例えば、この最小の厚さは、0.5ミクロン乃至500ミクロンの範囲とすることができる。この最小の厚さは、望ましくは100ミクロン乃至200ミクロンの範囲であり、より望ましくは120ミクロン以上である。
図7は、本発明の1実施形態に従って、図1に記載のプラズマ処理システムの電極板を作製する方法を示す。フロー図300は、ステップ310で開始し、このステップで電極板を作製する(例えば、電極板は、図2乃至図6を参照にして説明した電極板の特性を有する)。電極板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。電極板は、例えば、アルミニウムから作製されることができる。
ステップ320で、電極板は、表面陽極酸化層を形成するために陽極酸化される。例えば、電極板をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備する。アルミニウムの部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ330で、表面陽極酸化層は、標準的な機械加工技術を使用して露出面から除去される。この機械加工ステップ中または別の機械加工ステップ中、他の表面(例えば、プレナム凹部、第1のシール部、及び第2のシール部を除く電極板の第1の面)もまた機械加工されることができる(例えば、機械加工された表面で良好な機械的接触または電気的接触の少なくとも一方を提供する平坦またはむき出しの面を形成するため)。
ステップ340で、(前述したような)保護バリア150が、露出面140上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図8は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムの電極板を作製する方法を示す。フロー図400は、ステップ410で開始し、このステップで電極板を機械加工する(例えば、電極板は、図2乃至図6を参照にして説明した電極板の特性を有する)。電極板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。電極板は、例えば、アルミニウムから作製されることができる。
ステップ420で、露出面140が、そこに表面陽極酸化層が形成されることを防止するためにマスクされる。表面のマスキング及び脱マスキングは、表面コーティング及び表面陽極酸化の当業者によく知られている。このマスキングステップ中または別のマスキングステップ中、他の表面(例えば、プレナム凹部、第1のシール部、及び第2のシール部を除く電極板の第1の面)もまたマスクされることができる(例えば、機械加工された表面で良好な機械的接触または電気的接触の少なくとも一方を提供する平坦またはむき出しの面を形成するため)。
ステップ430で、電極板が陽極処理され、マスクされていない残りの表面に表面陽極酸化層が形成される。例えば、電極板をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備する。アルミニウム部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ440で、露出面140が脱マスクされ、保護バリア150が露出面140上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図9は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システムの電極板を作製する方法を示す。フロー図500は、ステップ510で開始し、このステップで電極板を作製する(例えば、電極板は、図2乃至図6を参照にして説明した電極板の特性を有する)。電極板の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。電極板は、例えば、アルミニウムから作製されることができる。
ステップ520で、(前述したような)保護バリア150が、電極板の露出面140上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
本発明の別の実施形態において、保護バリア150で保護される適切な数の表面が、マスキング及び機械加工の混合によって処理される。例えば、電極板の第2の面のプラズマ面は、そこに陽極酸化層が形成されないようにマスクされることができる。一方、複数のガス注入オリフィスの出口領域の注入面は、むき出しの露出面を与えるために陽極酸化後に機械加工される。
露出面140上に保護バリア150を形成するために必要ではないが、陽極酸化層が形成されたその他の非露出面を機械加工するか、陽極酸化を行う前に他の非露出面をマスクすることも可能である(例えば、部品間の電気的接続または機械的接続のためのむき出しの面を形成するため)。このような表面は、シール部または係合部の表面を含んでいてもよい。
本発明のある例示的な実施形態だけについて詳細に前述したが、当業者によれば、本発明の新規な教示及び利点から実質的に逸脱せずに、例示的な実施形態に対して多数の変更が可能であることが認識できるであろう。従って、このような変更の全ては、本発明の技術的範囲内に含まれることを意図している。
本発明の1実施形態に係る、電極板を含む上部電極を具備するプラズマ処理システムの簡単化されたブロック図。 本発明の1実施形態に係る、プラズマ処理システム用の電極板の平面図。 本発明の1実施形態に係る、プラズマ処理システム用の電極板の断面図。 本発明の1実施形態に係る、プラズマ処理システム用の電極板の係合面及びプラズマ面の拡大図。 本発明の1実施形態に係る、プラズマ処理システム用の電極板のガス注入オリフィスの拡大図。 本発明の1実施形態に係る、プラズマ処理システム用の電極板の診断ポートの出口貫通孔の拡大図。 本発明の1実施形態に従って、プラズマ処理システム用の電極板を作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の電極板を作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の電極板を作製する方法を示す図。

Claims (79)

  1. プラズマ処理システム用の上部電極であって、
    第1の面、第2の面、周辺エッジ、及び1つ以上のガス注入オリフィスを具備する電極板と、前記第1の面は、前記電極板を上部アセンブリに結合するように配設されることと、前記第2の面は、前記プラズマ処理システム内の処理空間に面するプラズマ面と、前記電極板を前記プラズマ処理システムに係合させる係合面とを含むことと、前記1つ以上のガス注入オリフィスは、前記第1の面及び前記第2の面に結合されると共に、処理ガスを前記処理空間に供給するように構成されることと、
    前記電極板の複数の露出面に結合された保護バリアと、前記露出面は前記プラズマ面を含むことと、
    を具備する上部電極。
  2. 前記電極板は、前記第1の面に結合されると共に、前記処理ガスを受け且つ前記処理ガスを前記1つ以上のガス注入オリフィスへ分配するように構成されたプレナム凹部を更に具備する請求項1に記載の上部電極。
  3. 前記電極板は、前記第1の面に結合されると共に、前記電極板を前記上部アセンブリにシールするように構成された第1のシール部を更に具備する請求項1に記載の上部電極。
  4. 前記電極板は、診断システムを前記プラズマ処理システムに結合する診断ポートと、前記診断ポートを前記上部アセンブリにシールする第2のシール部とを更に具備する請求項1に記載の上部電極。
  5. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも一方を含む化合物を具備する請求項1に記載の上部電極。
  6. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項5に記載の上部電極。
  7. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項5に記載の上部電極。
  8. 前記保護バリアは、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項1に記載の上部電極。
  9. 前記ガス注入オリフィスは、入口領域及び出口領域を具備し、前記出口領域は、注入面を具備する請求項1に記載の上部電極。
  10. 前記診断ポートは、入口凹部と出口貫通孔とを具備し、前記出口貫通孔は内面を具備する請求項4に記載の上部電極。
  11. 前記保護バリアは、前記ガス注入オリフィスの前記注入面に結合される請求項9に記載の上部電極。
  12. 前記保護バリアは、前記出口貫通孔の前記内面に結合される請求項10に記載の上部電極。
  13. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項1に記載の上部電極。
  14. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項1に記載の上部電極。
  15. 少なくとも1つのガス注入オリフィスは、0.1mm以上の直径を有する請求項1に記載の上部電極。
  16. 少なくとも1つのガス注入オリフィスは、1.0mm以上の長さを有する請求項1に記載の上部電極。
  17. 前記露出面は、前記1つ以上のガス注入オリフィスの前記注入面を更に含む請求項9に記載の上部電極。
  18. 前記露出面は、前記診断ポートの前記内面を更に含む請求項10に記載の上部電極。
  19. 前記電極板は、金属を更に具備する請求項1に記載の上部電極。
  20. 前記金属は、アルミニウムを具備する請求項19に記載の上部電極。
  21. 前記第1の面は、陽極酸化層を具備する請求項1に記載の上部電極。
  22. 前記プレナム凹部は、陽極酸化層を具備する請求項2に記載の上部電極。
  23. 前記係合面は、金属表面を具備する請求項1に記載の上部電極。
  24. プラズマ処理システム用の電極板を作製する方法であって、
    第1の面、第2の面、周辺エッジ、及び1つ以上のガス注入オリフィスを具備する電極板を作製するステップと、前記第1の面は、前記電極板を上部アセンブリに結合するように配設されることと、前記第2の面は、前記プラズマ処理システム内の処理空間に面するプラズマ面と、前記電極板を前記プラズマ処理システムに係合させる係合面とを含むことと、前記1つ以上のガス注入オリフィスは、前記第1の面及び前記第2の面に結合されると共に、処理ガスを前記処理空間に供給するように構成されることと、
    複数の露出面上に保護バリアを形成するステップと、前記露出面は前記プラズマ面を含むことと、
    を具備する方法。
  25. 前記電極板上に表面陽極酸化層を形成するために前記電極板を陽極酸化するステップと、
    前記露出面上に前記保護バリアを形成する前に、前記表面陽極酸化層を除去するために前記電極板上の前記露出面を機械加工するステップと、
    を更に具備する請求項24に記載の方法。
  26. 前記電極板上の前記露出面をマスクするステップと、
    前記電極板上に表面陽極酸化層を形成するために前記電極板を陽極酸化するステップと、
    前記露出面上に前記保護バリアを形成する前に、前記露出面を脱マスクするステップと、
    を更に具備する請求項24に記載の方法。
  27. 前記作製するステップは、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項24に記載の方法。
  28. 前記保護バリアを形成するステップは、前記露出面の少なくとも1つの上の前記保護バリアを研磨するステップを更に具備する請求項24に記載の方法。
  29. 前記電極板は、前記第1の面に結合されると共に、前記処理ガスを受け且つ前記処理ガスを前記1つ以上のガス注入オリフィスへ分配するように構成されたプレナム凹部を更に具備する請求項24に記載の方法。
  30. 前記電極板は、前記第1の面に結合されると共に、前記電極板を前記上部アセンブリにシールするように構成された第1のシール部を更に具備する請求項24に記載の方法。
  31. 前記電極板は、診断システムを前記プラズマ処理システムに結合する診断ポートと、前記診断ポートを前記上部アセンブリにシールする第2のシール部とを更に具備する請求項24に記載の方法。
  32. 前記ガス注入オリフィスは、入口領域及び出口領域を具備し、前記出口領域は、注入面を具備する24に記載の方法。
  33. 前記診断ポートは、入口凹部と出口貫通孔とを具備し、前記出口貫通孔は内面を具備する請求項24に記載の方法。
  34. 前記露出面は、前記1つ以上のガス注入オリフィスの前記注入面を更に含む請求項32に記載の方法。
  35. 前記露出面は、前記診断ポートの前記内面を更に含む請求項33に記載の方法。
  36. 前記電極板は、金属を更に具備する請求項24に記載の方法。
  37. 前記金属は、アルミニウムを具備する請求項36に記載の方法。
  38. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも一方を含む化合物を具備する請求項24に記載の方法。
  39. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項38に記載の方法。
  40. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項38に記載の方法。
  41. 前記保護バリアは、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項24に記載の方法。
  42. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項24に記載の方法。
  43. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項24に記載の方法。
  44. 前記第1の面は、陽極酸化層を具備する請求項24に記載の方法。
  45. 前記プレナム凹部は、陽極酸化層を具備する請求項29に記載の方法。
  46. 前記係合面は、金属表面を具備する請求項24に記載の方法。
  47. 少なくとも1つのガス注入オリフィスは、0.1mm以上の直径を有する請求項24に記載の方法。
  48. 少なくとも1つのガス注入オリフィスは、1.0mm以上の長さを有する請求項24に記載の方法。
  49. 前記作製するステップは、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項24に記載の方法。
  50. 前記保護バリアを形成するステップは、前記露出面の少なくとも1つを研磨するステップを更に具備する請求項24に記載の方法。
  51. 前記露出面は、前記電極板上に残る全ての表面を含む請求項24に記載の方法。
  52. プラズマ処理システムの上部アセンブリに結合することができる電極板を作製する方法であって、
    第1の面、第2の面、周辺エッジ、及び1つ以上のガス注入オリフィスを具備する電極板を作製するステップと、前記第1の面は、前記電極板を前記上部アセンブリに結合するように配設されることと、前記第2の面は、前記プラズマ処理システム内の処理空間に面するプラズマ面と、前記電極板を前記プラズマ処理システムに係合させる係合面とを含むことと、前記1つ以上のガス注入オリフィスは、前記第1の面及び前記第2の面に結合されると共に、処理ガスを前記処理空間に供給するように構成されることと、
    前記電極板上に表面陽極酸化層を形成するために前記電極板を陽極酸化するステップと、
    前記表面陽極酸化層を除去するために前記電極板上の露出面を機械加工するステップと、前記露出面は、前記電極板の前記第2の面の前記プラズマ面を含むことと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  53. 前記電極板は、前記第1の面に結合されると共に、前記処理ガスを受け且つ前記処理ガスを前記1つ以上のガス注入オリフィスへ分配するように構成されたプレナム凹部を更に具備する請求項52に記載の方法。
  54. 前記電極板は、前記第1の面に結合されると共に、前記電極板を前記上部アセンブリにシールするように構成された第1のシール部を更に具備する請求項52に記載の方法。
  55. 前記電極板は、診断システムを前記プラズマ処理システムに結合する診断ポートと、前記診断ポートを前記上部アセンブリにシールする第2のシール部とを更に具備する請求項52に記載の方法。
  56. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも一方を含む化合物を具備する請求項52に記載の方法。
  57. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項56に記載の方法。
  58. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項56に記載の方法。
  59. 前記化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項56に記載の方法。
  60. 前記作製するステップは、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項52に記載の方法。
  61. 前記保護バリアを形成するステップは、前記露出面の少なくとも1つの上の前記保護バリアを研磨するステップを更に具備する請求項52に記載の方法。
  62. 前記ガス注入オリフィスは、入口領域及び出口領域を具備し、前記出口領域は、注入面を具備する52に記載の方法。
  63. 前記診断ポートは、入口凹部と出口貫通孔とを具備し、前記出口貫通孔は内面を具備する請求項55に記載の方法。
  64. 前記露出面は、前記1つ以上のガス注入オリフィスの前記注入面を更に含む請求項62に記載の方法。
  65. 前記露出面は、前記診断ポートの前記内面を更に含む請求項63に記載の方法。
  66. プラズマ処理システムの上部アセンブリに結合することができる電極板を作製する方法であって、
    第1の面、第2の面、周辺エッジ、及び1つ以上のガス注入オリフィスを具備する電極板を作製するステップと、前記第1の面は、前記電極板を前記上部アセンブリに結合するように配設されることと、前記第2の面は、前記プラズマ処理システム内の処理空間に面するプラズマ面と、前記電極板を前記プラズマ処理システムに係合させる係合面とを含むことと、前記1つ以上のガス注入オリフィスは、前記第1の面及び前記第2の面に結合されると共に、処理ガスを前記処理空間に供給するように構成されることと、
    表面陽極酸化層の形成を阻止するために前記電極板上の露出面をマスクするステップと、前記露出面は、前記電極板の前記第2の面の前記プラズマ面を含むことと、
    前記電極板上に前記表面陽極酸化層を形成するために前記電極板を陽極酸化するステップと、
    前記露出面を脱マスクするステップと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  67. 前記電極板は、前記第1の面に結合されると共に、前記処理ガスを受け且つ前記処理ガスを前記1つ以上のガス注入オリフィスへ分配するように構成されたプレナム凹部を更に具備する請求項66に記載の方法。
  68. 前記電極板は、前記第1の面に結合されると共に、前記電極板を前記上部アセンブリにシールするように構成された第1のシール部を更に具備する請求項66に記載の方法。
  69. 前記電極板は、診断システムを前記プラズマ処理システムに結合する診断ポートと、前記診断ポートを前記上部アセンブリにシールする第2のシール部とを更に具備する請求項66に記載の方法。
  70. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも一方を含む化合物を具備する請求項66に記載の方法。
  71. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項70に記載の方法。
  72. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項70に記載の方法。
  73. 前記化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項70に記載の方法。
  74. 前記作製するステップは、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項66に記載の方法。
  75. 前記保護バリアを形成するステップは、前記露出面の少なくとも1つの上の前記保護バリアを研磨するステップを更に具備する請求項66に記載の方法。
  76. 前記ガス注入オリフィスは、入口領域及び出口領域を具備し、前記出口領域は、注入面を具備する66に記載の方法。
  77. 前記診断ポートは、入口凹部と出口貫通孔とを具備し、前記出口貫通孔は内面を具備する請求項69に記載の方法。
  78. 前記露出面は、前記1つ以上のガス注入オリフィスの前記注入面を更に含む請求項76に記載の方法。
  79. 前記露出面は、前記診断ポートの前記内面を更に含む請求項77に記載の方法。
JP2004539373A 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された上部電極板のための方法及び装置 Pending JP2006501645A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,757 US7166200B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved upper electrode plate in a plasma processing system
PCT/IB2003/004666 WO2004030011A2 (en) 2002-09-30 2003-09-29 Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode

Publications (1)

Publication Number Publication Date
JP2006501645A true JP2006501645A (ja) 2006-01-12

Family

ID=32029554

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539373A Pending JP2006501645A (ja) 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された上部電極板のための方法及び装置

Country Status (6)

Country Link
US (2) US7166200B2 (ja)
JP (1) JP2006501645A (ja)
KR (1) KR100753704B1 (ja)
CN (1) CN100555550C (ja)
AU (1) AU2003269393A1 (ja)
WO (1) WO2004030011A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
JP2013519790A (ja) * 2010-02-11 2013-05-30 アプライド マテリアルズ インコーポレイテッド 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7291566B2 (en) * 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
KR20060120707A (ko) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Cvd 막 특성들의 개선을 위한 에지 플로우 면판
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07176524A (ja) * 1993-11-05 1995-07-14 Tokyo Electron Ltd 真空処理装置用素材及びその製造方法
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
JP2000349070A (ja) * 1999-06-02 2000-12-15 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001226773A (ja) * 1999-12-10 2001-08-21 Tokyo Electron Ltd 処理装置およびそれに用いられる耐食性部材
JP2001313285A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理装置及び試料の処理方法

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59186325A (ja) * 1983-04-01 1984-10-23 コンパニ−・アンデユストリエル・デ・テレコミユニカシオン・セイテ−アルカテル ドライエツチング装置
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4985102A (en) 1986-07-17 1991-01-15 Du Pont Canada Inc. Method of making fiber reinforced polyamide sheets
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) * 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0508731B1 (en) * 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Use of an oxide coating to enhance the resistance to oxidation and corrosion of a silicon nitride based gas turbine blade
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co., Ltd. Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
TW321821B (ja) 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3798597B2 (ja) * 1999-11-30 2006-07-19 富士通株式会社 半導体装置
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
DE20104654U1 (de) 2000-03-15 2001-09-13 Preising, Paul-Eric, 50968 Köln Reinigungsvorrichtung für hochspannungsführende Anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
DE60127035T2 (de) * 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
EP1358660B1 (en) 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07176524A (ja) * 1993-11-05 1995-07-14 Tokyo Electron Ltd 真空処理装置用素材及びその製造方法
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
JP2000349070A (ja) * 1999-06-02 2000-12-15 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001226773A (ja) * 1999-12-10 2001-08-21 Tokyo Electron Ltd 処理装置およびそれに用いられる耐食性部材
JP2001313285A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理装置及び試料の処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013519790A (ja) * 2010-02-11 2013-05-30 アプライド マテリアルズ インコーポレイテッド 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板

Also Published As

Publication number Publication date
WO2004030011A3 (en) 2004-08-05
CN100555550C (zh) 2009-10-28
KR100753704B1 (ko) 2007-08-30
US7166200B2 (en) 2007-01-23
WO2004030011A2 (en) 2004-04-08
CN1685464A (zh) 2005-10-19
AU2003269393A1 (en) 2004-04-19
US20040061447A1 (en) 2004-04-01
AU2003269393A8 (en) 2004-04-19
KR20050053711A (ko) 2005-06-08
US20070096658A1 (en) 2007-05-03
US7566368B2 (en) 2009-07-28

Similar Documents

Publication Publication Date Title
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
JP4627660B2 (ja) プラズマ処理システムにおける改良されたバッフル板のための装置
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
JP2006501645A (ja) プラズマ処理システムにおける改良された上部電極板のための方法及び装置
JP4585316B2 (ja) プラズマ処理システムにおける改良された光学窓堆積シールドのための装置
JP4627659B2 (ja) プラズマ処理システムにおける改良されたベローズシールドのための装置
JP2006501610A (ja) プラズマ処理システムにおける改良されたバッフル板のための方法及び装置
KR20060126922A (ko) 개선된 배플 플레이트를 위한 방법 및 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100928