KR100753704B1 - 플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치및 방법 - Google Patents

플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치및 방법 Download PDF

Info

Publication number
KR100753704B1
KR100753704B1 KR1020057005446A KR20057005446A KR100753704B1 KR 100753704 B1 KR100753704 B1 KR 100753704B1 KR 1020057005446 A KR1020057005446 A KR 1020057005446A KR 20057005446 A KR20057005446 A KR 20057005446A KR 100753704 B1 KR100753704 B1 KR 100753704B1
Authority
KR
South Korea
Prior art keywords
electrode plate
processing system
plasma processing
protective layer
plasma
Prior art date
Application number
KR1020057005446A
Other languages
English (en)
Other versions
KR20050053711A (ko
Inventor
히데히토 사이구사
다이라 다카세
고지 미쓰하시
히로유키 나카야마
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050053711A publication Critical patent/KR20050053711A/ko
Application granted granted Critical
Publication of KR100753704B1 publication Critical patent/KR100753704B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Abstract

본 발명은 플라즈마 처리 시스템의 상부 어셈블리에 연결하도록 구성되어, 전극판을 상부 어셈블리에 연결하는 제 1 표면, 제 1 표면의 반대편에, 플라즈마 처리 시스템내의 처리 플라즈마를 향하도록 구성된 플라즈마 표면과 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 및 주위 가장자리를 포함하는 전극판을 제공하는데 있다.

Description

플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치 및 방법 {METHOD AND APPARATUS FOR AN IMPROVED UPPER ELECTRODE PLATE IN A PLASMA PROCESSING SYSTEM}
본 발명은 플라즈마 처리 시스템의 개선된 구성요소에 관한 것으로서, 보다 상세하게는 처리가스를 도입하고 챔버 내부를 보호하도록 플라즈마 처리 시스템에구비된 상부 전극판에 관한 것이다.
[관련출원의 상호참조]
본 출원은 미국특허출원번호가 10/259,858이고, 대리인 파일번호가 226272US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 용착 실드가 구비된 개선된 상부 전극판을 위한 장치 및 방법", 미국특허출원번호가 10/259,381이고, 대리인 파일번호가 226274US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및 방법", 미국특허출원번호가 10/259,380이고, 대리인 파일번호가 228411US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및 방법", 미국특허출원번호가 10/259,353이고, 대리인 파일번호가 226275US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 용착 실드를 위한 장치 및 방법", 미국특허출원번호가 10/259,352이고, 대리인 파일번호가 226276US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 광학 창 용착 실드를 위한 장치 및 방법", 미국특허출원번호가 10/259,306이고, 대리인 파일번호가 226277US6YA이며, 본 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 주름 실드를 위한 장치 및 방법"과 관련이 있다. 이 모든 출원의 전체 내용은 본원에 참고로 포함된다.
[종래기술]
반도체 산업에서 집적회로(IC)의 제조는 일반적으로 기판으로부터 물질을 제거하거나 증착에 필요한 플라즈마 반응로내에서의 표면 화학반응을 일으키거나 돕도록 플라즈마를 사용한다. 일반적으로 플라즈마는 공급된 처리가스와의 이온화 충돌을 지탱하기 위한 충분한 에너지를 가지는 열전자에 의해 진공의 플라즈마 반응로내에서 형성된다. 또한, 열전자는 해리 충돌을 지탱할 만한 충분한 에너지를 가질 수 있고, 따라서 미리 정해진 조건(예를 들어 챔버 압력, 가스 유량 등)에서 특정한 일련의 가스가 챔버내에서 수행되는 특정 공정(예를 들어, 기판으로부터 물질을 제거하는 에칭 공정, 기판에 물질이 첨가되는 증착 공정)에 적합한 일단의 대전 분자 및 화학적 반응 분자를 생산하도록 선택된다.
비록 대전 입자(이온 등) 및 화학적 반응 입자 집단의 형성이 기판 표면에서의 플라즈마 처리 시스템의 기능(예를 들어, 물질 에칭, 물질 증착 등)을 수행하기 위해 필요하다고 할지라도, 공정 챔버 내부의 다른 구성요소 표면은 물리적으로 화학적으로 활성화된 플라즈마에 노출되고 침식될 수 있다.
플라즈마 처리 시스템에 노출된 구성요소의 침식은 플라즈차 처리 기능의 점차적인 열화를 초래하고 궁극적으로 시스템의 전반적인 고장을 일으킨다.
처리 플라즈마에의 노출에 따른 손상을 최소화하기 위해, 처리 플라즈마에 노출에 손상을 입는다고 알려진 플라즈마 처리 시스템의 구성요소는 보호층이 코팅된다. 예를 들어, 알루미늄 재질의 구성요소는 플라즈마에 보다 큰 저항을 가지는 산화 알루미늄 표면층을 형성하도록 양극산화 처리될 수 있다. 다른 예에서는, 실리콘, 석영, 알루미나, 카본, 또는 실리콘 카바이드 재질의 구성요소 처럼 소모되거나 대체 가능한 구성요소가 잦은 교체로 인한 비용 부담이 큰 보다 가치있는 구성요소의 표면을 보호하기 위해 처리 챔버내에 삽입될 수 있다.
또한, 원하는 않는 오염물, 불순물 등이 처리 플라즈마, 가능하다면 기판위에 형성된 소자로의 유입을 최소화하는 표면 재료를 선택하는 것이 바람직하다.
양자의 경우에 있어서, 보호층의 순도 또는 보호층의 제조시의 순도 중 어느 하나로 인한 보호 코팅의 불가피한 파손과 대체 가능한 구성요소의 소모되는 성질은 플라즈마 처리 시스템의 잦은 유지보수를 요구한다. 이와 같은 잦은 유지보수는 플라즈마의 고장시간 및 새로운 플라즈마 처리 챔버 구성요소와 관련된 비용을 발생시킬 수 있고, 이는 과도할 수 있다.
본 발명은 플라즈마 처리 시스템을 위한 개선된 상부 전극을 제공하는 것에 관한 것으로, 상기 확인된 단점을 효과적으로 완화하기 위한 상부 전극의 제작과 설계에 관한 것이다.
본 발명의 목적은 플라즈마 처리 시스템의 상부 어셈블리에 연결하도록 구성되어, 전극판을 상부 어셈블리에 연결하는 제 1 표면, 제 1 표면의 반대편에, 플라즈마 처리 시스템내의 처리 플라즈마를 향하도록 구성된 플라즈마 표면과 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 및 주위 가장자리를 포함하는 전극판을 제공하는 데 있다.
전극판은 복수의 가스 분사구를 더 포함할 수 있으며, 여기서 적어도 하나의 가스 분사구는 처리 가스를 수용하는 유입 영역과 처리 가스를 플라즈마 처리 시스템에 연결시키는 유출 영역을 포함하며, 유출 영역은 분사면을 포함한다.
전극판은 전극판을 상부 어셈블리에 부착하도록 고정 장치를 수용하는 복수의 고정 리셉터를 더 포함할 수 있다.
전극판은 제 1 표면에 연결되어 처리 가스를 수용하고 처리 가스를 복수의 가스 분사구로 분배하는 플리넘 홀을 더 포함할 수 있다.
전극판은 전극판의 제 1 표면에 연결되어 전극판을 상부 어셈블리에 밀폐하도록 구성된 제 1 밀폐홀을 더 포함할 수 있다.
전극판은 진단 포트 및 전극판의 제 1 표면에 연결되어 진단 포트를 상부 어셈블리에 밀폐하는 제 2 밀폐홀을 더 포함할 수 있다. 진단 포트는 유입구와 내부면을 포함하는 유출구를 포함할 수 있다.
전극판은 전극판의 복수의 노출된 표면위에 처리 플라즈마를 향하도록 형성된 보호층을 더 포함할 수 있다.
본 발명의 다른 목적은 노출 표면이 전극판 제 2 표면의 플라즈마 표면을 포함하는 전극판을 제공하는 데 있다. 부가적으로, 노출된 표면은 하나 이상의 가스 분사구내의 유출 영역의 분사면, 진단 포트내의 유출구의 내부면을 포함할 수 있다.
본 발명은 플라즈마 처리 시스템의 전극판을 생산하도록 아래의 단계들로 이루어진 방법을 제공하는 데 있다. 전극판을 제조하는 단계; 전극판위에 표면 양극산화층을 형성하기 위해 전극판을 양극산화 처리하는 단계; 표면 양극산화층을 제거하기 위해 전극판위에 노출된 표면을 기계 가공하는 단계; 및 노출된 표면위에 보호층을 형성하는 단계. 또한, 본 발명은 선택적으로 플리넘 홀, 제 1 밀폐홀, 제 2 밀폐홀을 제외하고 전극판의 제 1 표면을 기계 가공하는 단계를 포함할 수 있다.
본 발명은 플라즈마 처리 시스템의 전극판을 생산하도록 아래의 단계들로 이루어진 다른 방법을 제공한다. 전극판을 제조하는 단계; 표면 양극산화층의 형성을 방지하기 위해 전극판위에 노출된 표면을 마스크하는 단계; 전극판위에 표면 양극산화층을 형성하기 위해 전극판을 양극산화 처리하는 단계; 노출된 표면을 마스크를 제거하는 단계; 및 노출된 표면위에 보호층을 형성하는 단계를 포함한다. 또한, 본 발명은 선택적으로 다른 노출되지 않은 표면(예를 들어, 플리넘 홀, 제 1 밀폐홀, 제 2 밀폐홀을 제외한 전극판의 제 1 표면)을 마스크하는 단계를 포함한다.
본 발명은 플라즈마 처리 시스템의 전극판을 생산하도록 아래의 단계들로 이루어진 또 다른 방법을 제공한다. 전극판을 제조하는 단계; 및 노출된 표면위에 보호층을 형성하는 단계를 포함한다.
또한, 본 발명은 노출된 표면이 보호층을 수용하도록 준비하는 기계 가공 및 마스크를 조합하는 공정, 그런 다음 노출된 표면위에 보호층을 형성하는 공정을 포함할 수 있다. 예를 들어, 보호층이 형성된 네 개의 노출된 표면을 생성하기 위해 양극산화 처리 전에 두 개의 표면이 마스크될 수 있고, 양극산화 처리 후에 두 개의 표면이 기계 가공될 수 있다.
본 발명의 이것 및 다른 유리한 점은 첨부된 도면과 함께 다음의 본 발명의 실시에에 대한 상세한 설명으로부터 보다 명백하고 쉽게 이해될 것이다.
도 1은 본 발명의 실시예에 따른 전극판을 구비한 상부 전극을 포함하는 플라즈마 처리 시스템의 간략화된 블럭 다이어그램.
도 2는 본 발명의 실시예에 따른 플라즈마 처리 시스템을 위한 전극판의 평면도.
도 3은 본 발명의 실시예에 따른 플라즈마 처리 시스템을 위한 전극판의 단면도.
도 4는 본 발명의 실시예에 따른 플라즈마 처리 시스템을 위한 전극판의 플라즈마 표면과 결합면의 확대 단면도.
도 5는 본 발명의 실시예에 따른 플라즈마 처리 시스템을 위한 전극판내의 가스 분사구의 확대 단면도.
도 7은 본 발명의 실시예에 따른 플라즈마 처리 시스템을 위한 전극판의 생산방법.
도 8은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템을 위한 전극판 의 생산방법.
도 9는 본 발명의 또 다른 실시예에 따른 플라즈마 처리 시스템을 위한 전극판의 생산방법.
본 발명의 실시예에 따라, 도 1에 도시된 플라즈마 처리 시스템(1)은 플라즈마 처리 챔버(10), 상부 어셈블리(20), 전극판(24), 기판(35)을 지지하는 기판 홀더(30), 및 플라즈마 처리 챔버(10)내에 감소된 압력 분위기(11)를 제공하는 진공 펌프(도시하지 않음)에 연결되는 펌핑 덕트(40)를 포함한다. 플라즈마 처리 챔버(10)는 기판(35)에 인접한 처리 공간(12)내의 처리 플라즈마의 형성을 용이하게 한다. 플라즈마 처리 시스템(1)은 다양한 기판(예를 들어, 200mm 기판, 300mm 기판, 또는 더 큰)을 처리하도록 구성될 수 있다.
도시된 실시예에서, 상부 어셈블리(20)는 커버, 가스 분사 어셈블리, 및 상부 전극 임피던스 매치 네트워크 중 적어도 하나를 포함한다. 예를 들어, 전극판(24)은 RF 소스와 연결될 수 있고 플라즈마 처리 시스템(1)의 상부 전극의 작동을 용이하게 할 수 있다. 또 다른 실시예에서, 상부 어셈블리(20)는 커버 및 전극판(24)을 포함하는데, 전극판(24)은 플라즈마 처리 챔버(10)의 전위와 동일한 전위로 유지된다. 예를 들어, 플라즈마 처리 챔버(10), 상부 어셈블리(20), 및 전극판(24)은 전기적으로 그라운드 전위에 연결될 수 있고 플라즈마 처리 시스템(1)의 상부 전극의 작동을 용이하게 할 수 있다.
플라즈마 처리 챔버(10)는 플라즈마 처리 챔버(10)를 처리 공간(12)내의 처 리 플라즈마로부터 보호하기 위해 용착 실드(14)를 더 포함할 수 있다. 광학 뷰포트(16)는 광학 창 용착 실드(18)의 뒷면에 연결된 광학 창(17)과 광학 창(17)를 광학 창 용착 실드(18)에 연결토록 구성될 수 있는 광학 창 플랜지(19)를 포함할 수 있다. O링과 같은 밀폐 수단은 광학 창 플랜지(19)과 광학 창(17) 사이, 광학 창(17)과 광학 창 용착 실드(18)사이, 및 광학 창 용착 실드(18)와 플라즈마 처리 챔버(10) 사이에 구비될 수 있다. 광학 창 용착 실드(18)는 용착 실드(14)내의 통로(70)를 통해 연장될 수 있다. 광학 뷰포트(16)를 통해 예를 들어, 처리 공간(12)내의 처리 플라즈마로부터의 광방출의 감시가 가능하다.
또한, 기판 홀더(30)는 예를 들어, 기판 홀더(30) 및 플라즈마 처리 챔버(10)에 연결되고, 플라즈마 처리 챔버(10)내의 감소된 압력 분위기(11)로부터 수직 병진 장치(50)를 밀폐하도록 구성된 벨로즈(52)에 의해 둘러싸여진 수직 병진 장치(50)를 더 포함할 수 있다. 부가적으로, 벨로즈 실드(54)는 예를 들어, 기판 홀더(30)에 연결되고 처리 플라즈마로부터 벨로즈(52)를 보호하도록 구성될 수 있다. 또한, 기판 홀더(30)는 예를 들어, 포커스 링(60) 및 실드 링(62) 중 적어도 하나에 연결될 수 있다. 또한, 배플판(64)은 기판 홀더(30)의 가장자리로부터 연장될 수 있다.
기판(35)은 예를 들어, 기판 홀더(30) 내의 장치에 의해 기계적으로 병진되는 로봇 기판 이송 시스템에서, 기판 홀더(30) 내의 기판 리프트 핀(도시하지 않음)에 의해 제공되고, 슬롯 밸브(도시하지 않음) 및 챔버 관통홀(도시하지 않음)를 통해 플라즈마 처리 챔버(10)의 안팎으로 이송될 수 있다. 일단, 기판(35)이 기판 이송 이스템으로부터 제공되면 기판(35)은 기판 홀더(30)의 상부면까지 낮추어진다.
기판(35)은 예를 들어, 정전 클램핑 시스템에 의해 기판 홀더(30)에 부착될수 있다. 또한, 기판 홀더(30)는 예를 들어, 기판 홀더(30)로부터 열을 받아 열 교환 시스템(도시하지 않음)으로 열을 전달하거나, 발열시 열 교환 시스템으로부터 의 열을 전달하는 재순환 냉매 유량을 포함하는 냉각 시스템을 더 포함할 수 있다. 또한, 가스는 예를 들어, 기판(35)과 기판 홀더(30)사이의 가스 갭 열전도를 향상시키기 위해 후면 가스 시스템에 의해 기판(35)의 후면으로 공급될 수 있다. 그와 같은 시스템은 온도가 증가 또는 감소에 따른 기판의 열제어가 요구될 때 사용될 수 있다. 다른 실시예에서, 발열 소자 예를 들어, 저항 발열 소자, 또는 열전기 발열기/냉각기가 포함될 수 있다.
도 1에 도시된 실시예에서, 기판 홀더(30)는 전극을 포함할 수 있는데, 전극을 통해 RF 전력이 처리 공간(12)내의 처리 플라즈마로 연결된다. 예를 들어, 기판 홀더(30)는 RF 전원장치(도시되지 않음)로부터 임피던스 매치 네트워크(도시하지 않음)를 통해 기판 홀더(30)로 전달되는 RF 전력의 전달에 의해 전기적으로 RF 전압에 바이어스될 수 있다. RF 바이어스는 플라즈마를 생성하고 유지하도록 열전자를 제공한다. 이와 같은 구성에서, 시스템은 반응성 이온 에칭(RIE) 반응로로서 동작할 수 있고, 여기에서 챔버와 상부 가스 분사 전극은 그라운드 표면으로서 제공된다. 전형적인 RF 바이어스를 위한 주파수는 1MHz로부터 100MHz에 이르고, 바람직하게는 13.56MHz이다. 플라즈마 처리를 위한 RF 시스템은 본 발명이 속하는 기술분 야의 통상의 지식을 가진자에게 잘 알려져 있다.
선택적으로, 처리 공간(12)내에서 형성되는 처리 플라즈마는 평행판, 축전 결합형 플라즈마(CCP) 소스, 유도 결합형 플라즈마(ICP) 소스, 그것의 어떤 조합, 및 DC 마그네트 시스템을 구비하거나 구비하지 않은 것을 이용하여 생성될 수 있다. 선택적으로, 처리 공간(12)내의 처리 플라즈마는 전자 사이클로트론 공명(ECR)을 이용하여 생성될 수 있다. 또 다른 실시예에서는, 처리 공간(12)내의 처리 플라즈마는 헬리콘파의 발생으로부터 생성될 수 있다. 또 다른 실시예에서는, 처리 공간(12)내의 처리 플라즈마는 전파 표면파로부터 형성된다.
도 2(평면도)와 도 3(단면도)에 도시된 본 발명의 실시예를 참조하면, 전극판(24)은, 전극판(24)을 상부 어셈블리(20)로 연결하는 연결면(82a), 플라즈마 처리 챔버(10)내의 처리 플라즈마를 향하도록 구성된 플라즈마 표면(90)과 전극판(24)과 플라즈마 처리 챔버(10)를 결합하는 결합면(92)을 포함하는 제 2 표면(88), 및 주위 가장자리(94)를 포함할 수 있다.
도 4는 전극판(24)의 주위 가장자리(94)에 근접한 플라즈마 표면(90)과 결합면(92)의 확대도를 도시한다.
도 2 및 도 3을 계속해서 참조하고 도 5에 도시된 바와 같이, 전극판(24)은플리넘 표면(82b)과 제 2 표면(88)에 연결된 복수의 가스 분사구(100)를 더 포함하는데, 여기서 각 가스 분사구(100)는 처리 가스를 수용하기 위한 유입 영역(102)과 처리 가스를 플라즈마 처리 챔버(10)로 연결하기 위한 유출 영역(104)을 포함하고, 유출 영역(104)은 플라즈마 표면(90)에 접하는 분사면(106)을 포함한다. 처리 가스 는 예를 들어, 산화 에칭의 적용을 위해 아르곤, CF4 및 O2의 혼합가스 또는 아르곤 C4F8, 및 02의 혼합가스, 또는 예를 들어, 02/CO/Ar/C4F8, 02/Ar/C4F8, 02/CO/Ar/C5F8 , 02/CO/Ar/C4F6, 02/Ar/C4F6, N2/H2, N2/O2와 같은 화학물을 포함할 수 있다.
예를 들어, 전극판(24)내에 형성된 가스 분사구(100)의 수는 1개에서 10000개까지 이를 수 있다. 바람직하게, 가스 분사구(100)의 갯수는 50개에서 500개까지이며, 보다 바람직하게는 가스 분사구(100)의 갯수는 적어도 100개이다. 또한, 가스 분사구의 지름은 예를 들어 0.1mm에서 20mm까지 이를 수 있다. 바람직하게는, 지름은 0.5mm에서 5mm까지이고, 보다 바람직하게는 지름은 0.5mm에서 2mm까지이다. 또한, 가스 분사구의 길이는 예를 들어 1mm에서 20mm까지 이를 수 있다. 바람직하게는, 길이는 2mm에서 15mm이며, 보다 바람직하게는, 범위가 3mm에서 12mm이다.
부가적으로, 도 3에 도시된 바와 같이, 전극판(24)은 제 1 표면(82)의 일부인 플리넘 면(82b)을 구비하고, 처리 가스를 수용하여 복수의 가스 분사구(100)로 배분하도록 구성된 플리넘 홀(84)을 포함한다.
부가적으로, 전극판(24)은 전극판(24)의 연결면(82a)에 연결되어 전극판(24)을 상부 어셈블리(20)에 밀폐하도록 구성된 제 1 밀폐홀(86)을 포함한다. 제 1 밀폐홀은 예를 들어, O링에 맞춰지도록 구성된 더브 테일(dovetail) 단면 또는 직사각형 단면을 포함할 수 있다. 다른 실시예에서, 전기적인 연결 부재(도시하지 않음)가 전극판(24)과 상부 어셈블리(20) 사이의 향상된 전기적 연결을 위해 전극판(24)의 연결면(82a)에 통합될 수 있다. 전기적 연결 부재는 예를 들어, 진공 처리에 관한 기술분야의 통상의 지식을 가진자에게 알려진 Spirashield(Spira Manufacturing Company로부터 상업적으로 입수가능한)를 포함할 수 있다.
전극판(24)은 전극판(24)을 상부 어셈블리(20)에 부착시키기 위해 고정 장치(볼트와 같은)(도시하지 않음)를 수용하는 복수의 고정 리셉터(110)를 더 포함할 수 있다. 예를 들어, 전극판(24)내에 형성된 고정 리셉터(110)의 수는 1개에서 100개까지 이를 수 있다. 바람직하게, 고정 리셉터(110)의 갯수는 5개에서 20개까지이며, 보다 바람직하게는 고정 리셉터(110)의 갯수는 적어도 8개이다.
전극판(24)은 진단 포트(120) 및 전극판(24)의 연결면(82a)에 연결되고 진단 포트(120)를 상부 어셈블리(20)에 밀폐하도록 구성된 제 2 밀폐홀(122)을 더 포함할 수 있다. 도 6에 도시된 바와 같이, 진단 포트(120)는 플라즈마 표면(90)에 인접하는 내부면(128)을 포함하는 유입구(124) 및 유출구(126)를 포함할 수 있다. 유사하게, 제 2 밀폐홀은 예를 들어, O링을 수용하도록 구성된 더브 테일(dovetail) 단면을 포함할 수 있다. 진단 포트(120)는 진단 시스템(도시하지 않음)을 플라즈마 처리 챔버(10)의 감소된 압력 분위기(11)로 연결하도록 사용될 수 있다. 예를 들어, 진단 시스템은 압력 마노메터를 포함할 수 있다.
부가적으로, 전극판(24)은 예를 들어, 전극판(24)의 상부 어셈블리(20)로의 적합한 연결을 제공하기 위해 하나 이상의 정렬홀(130)을 더 포함할 수 있다. 하나 이상의 정렬홀(130)은 예를 들어, 도 2에 도시된 두개의 슬롯을 포함할 수 있다.
도 5 및 도 6에 도시된 바와 같이, 복수의 노출된 표면(140)은, 전극판(24)의 제 2 표면(88)의 플라즈마 표면(90)과, 하나 이상의 가스 분사구(100)내의 분사 면(106), 진단 포트(120)내의 내부면(128)을 포함할 수 있다. 선택적으로, 노출된 표면은 전극판(24)위의 모든 표면을 포함한다.
도 2 내지 도 6을 다시 참조하면, 전극판(24)은 전극판(24)의 노출된 표면(140)위에 형성된 보호층(150)을 더 포함한다. 본 발명의 실시예에서, 보호층(150)은 Al2O3와 같이 산화 알루미늄을 포함하는 화합물을 포함할 수 있다. 본 발명의 다른 실시예에서, 보호층(150)은 Al2O3 및 Y2O3 의 혼합물을 포함할 수 있다. 본 발명의 또 다른 실시예에서, 보호층(150)은 3족 원소(주기율표의 3족)와 란탄족 원소 중 적어도 하나를 포함할 수 있다. 본 발명의 또 다른 실시예에서, 3족 원소는 이트륨, 스칸듐, 및 란탄 중 적어도 하나를 포함할 수 있다. 본 발명의 또 다른 실시예에서, 란탄족 원소는 세륨, 디스프로슘, 및 유로퓸 중 적어도 하나를 포함할 수 있다. 본 발명의 또 다른 실시예에서, 보호층(150)을 형성하는 화합물은 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3 중 적어도 하나를 포함할 수 있다.
본 발명의 실시예에서, 전극판(24)위에 형성된 보호층(150)은 최소 두께로 이루어지는데, 여기서 최소 두께는 노출된 표면(140) 중 적어도 어느 하나에 가로지르는 상수로서 설명된다. 다른 실시예에서, 최소 두께는 노출된 표면(140)을 가로질러 변할수 있다. 선택적으로, 최소 두께는 노출된 표면의 제 1 영역에 걸쳐 일정하고, 노출된 표면의 제 2 영역에 걸쳐 변할 수 있다. 예를 들어, 곡선 표면, 모퉁이, 또는 구멍내에서 두께가 변할 수 있다. 예를 들어, 최소 두께는 0.5 미크론 에서 500 미크론까지 이를 수 있다. 바람직하게, 최소 두께는 100 미크론에서 200 미크론이고, 보다 바람직하게는 최소 두께는 적어도 120 미크론이다.
도 7은 본 발명의 실시예에 따라 도 1에 도시된 플라즈마 처리 시스템의 상부 전극을 위한 전극판의 제조 방법을 도시하고 있다. 흐름도(300)는 전극판을 제조하는 것(310)(예를 들어, 도 2-6을 참조하여 설명된 판의 특성을 가진 전극판)에서 시작한다. 전극판을 제조하는 것은 기계 가공, 주조, 연마, 단조, 및 연삭 중 적어도 하나를 포함한다. 예를 들어, 상술한 구성요소의 각각은 분쇄기, 선반 등을 포함하는 종래의 기술을 사용하여 기계제도상에 설명된 규격에 따라 기계 가공될 수 있다. 예를 들어, 분쇄기 또는 선반을 사용하여 구성요소를 기계 가공하는 기술은 기계 가공에 관한 기술분야의 통상의 지식을 가진 자에게 잘 알려져 있다. 전극판은 예를 들어, 알루미늄으로부터 제조될 수 있다.
320에서, 전극판(24)은 표면 양극산화층을 형성하기 위해 양극산화 처리 된다. 예를 들어, 알루미늄으로부터 전극판(24)을 제조할 때, 표면 양극산화층은 산화 알루미늄(Al2O3)을 포함한다. 알루미늄 원소를 양극산화 처리 하는 방법은 표면 양극산화 처리의 기술분야의 통상의 지식을 가진자에게 잘 알려져 있다.
330에서, 표면 양극산화층은 통상적인 기계 가공 기술을 사용하여 노출된 표면으로부터 제거된다. 동일한 기계 가공 단계 또는 별도의 기계 가공 단계 동안 다른 표면(예를 들어, 플리넘 홀, 제 1 밀폐홀, 및 제 2 밀폐홀을 제외한 전극판의 제 1 표면) 또한 기계 가공될 수 있다(예를 들어, 기계 가공된 표면에 우수한 기계 적 또는 전기적 접촉을 제공하는 편평한 또는 노출된 표면을 위해).
340에서, 보호층(150)(상술한 바와 같이)이 노출된 표면(140) 위에 형성된다. 예를 들어, 이트리아(yttria)를 포함하는 보호층은 세라믹 스프레이 코팅 기술분야의 통상의 지식을 가진자에게 잘 알려진 (열) 스프레이 코팅 기술을 사용하여 형성될 수 있다. 다른 실시예에서, 보호층의 형성은 열 스프레이 코팅을 연마하는 것을 더 포함할 수 있다. 예를 들어, 열 스프레이 코팅을 연마하는 것은 스프레이된 표면에 샌드 페이퍼의 사용하는 것을 포함할 수 있다.
도 8은 본 발명의 다른 실시예에 따라 도 1에 도시된 플라즈마 처리 시스템의 상부 전극을 위한 전극판의 제조 방법을 도시하고 있다. 흐름도(400)는 전극판 (예를 들어, 도 2-6을 극조하여 설명된 판의 특성을 가진 전극판)을 기계 가공하는 것(410)에서 시작한다. 전극판을 제조하는 것은 기계 가공, 주조, 연마, 단조, 및 연삭 중 적어도 하나를 포함한다. 예를 들어, 상술한 구성요소의 각각은 분쇄기, 선반 등을 포함하여 종래의 기술을 사용하여 기계제도상에 설명된 규격에 따라 기계 가공될 수 있다. 예를 들어, 분쇄기 또는 선반을 사용하여 구성요소를 기계 가공하는 기술은 기계 가공에 관한 기술분야의 통상의 지식을 가진 자에게 잘 알려져 있다. 전극판은 예를 들어, 알루미늄으로부터 제조될 수 있다.
420에서, 노출된 표면(140)은 그위에 표면 양극산화층의 형성을 방지하기 위해 마스크된다. 표면 마스크 및 마스크 제거 기술은 표면 코팅과 표면 양극산화 처리 기술에 속하는 분야의 통상의 지식을 가진자에게 잘 알려져 있다. 동일한 마스크 단계 또는 별도의 마스크 단계 동안 다른 표면(예를 들어, 플리넘 홀, 제 1 밀 폐홀, 제 2 밀폐홀을 제외한 전극판의 제 1 표면) 또한 마스크될 수 있다(예를 들어, 기계 가공된 표면에 우수한 기계적 또는 전기적 접촉을 제공하는 편평한 또는 노출 표면을 위해).
430에서, 전극판(24)은 남겨진 마스크가 제거된 표면위에 표면 양극산화층을 형성하기 위해 양극산화 처리된다. 예를 들어, 알루미늄으로부터 전극판을 제조할 때, 표면 양극산화층은 산화 알루미늄(Al2O3)을 포함한다. 알루미늄 원소를 양극산화 처리하는 방법은 표면 양극산화 처리하는 기술분야의 통상의 지식을 가진자에게 잘 알려져 있다.
440에서, 노출된 표면(140)에서 마스크가 제거되고, 보호층(150)(상술한 바와 같이)은 노출된 표면(140)위에 형성된다. 예를 들어, 이트리아를 포함하는 보호층은 세라믹 스프레이 코팅 기술분야의 통상의 지식을 가진자에게 잘 알려진 (열) 스프레이 코팅 기술을 사용하여 형성될 수 있다. 다른 실시예에서, 보호층의 형성은 열 스프레이 코팅을 연마하는 것을 더 포함할 수 있다. 예를 들어, 열 스프레이 코팅을 연마하는 것은 스프레이된 표면에 샌드 페이퍼의 사용하는 것을 포함할 수 있다.
도 9는 본 발명의 또 다른 실시예에 따라 도 1에 도시된 플라즈마 처리 시스템의 상부 전극을 위한 전극판의 제조 방법을 도시하고 있다. 흐름도(500)는 전극판(예를 들어, 도 2-6을 참조하여 설명된 판의 특성을 가진 전극판)을 제조하는 것(510)에서 시작한다. 전극판을 제조하는 것은 기계 가공, 주조, 연마, 단조, 및 연 삭 중 적어도 하나를 포함한다. 예를 들어, 상술한 구성요소의 각각은 분쇄기, 선반 등을 포함하여 종래의 기술을 사용하여 기계제도상에 설명된 규격에 따라 기계 가공될 수 있다. 예를 들어, 분쇄기 또는 선반을 사용하여 구성요소를 기계 가공하는 기술은 기계 가공에 관한 기술분야의 통상의 지식을 가진 자에게 잘 알려져 있다. 전극판은 예를 들어, 알루미늄으로부터 제조될 수 있다.
520에서, 보호층(150)(상술한 바와 같이)이 노출된 표면(140) 위에 형성된다. 예를 들어, 이트리아를 포함하는 보호층은 세라믹 스프레이 코팅 기술분야의 통상의 지식을 가진자에게 잘 알려진 (열) 스프레이 코팅 기술을 사용하여 형성될 수 있다. 다른 실시예에서, 보호층의 형성은 열 스프레이 코팅을 연마하는 것을 더 포함할 수 있다. 예를 들어, 열 스프레이 코팅을 연마하는 것은 스프레이된 표면에 샌드 페이퍼의 사용하는 것을 포함할 수 있다.
본 발명의 다른 실시예에서, 마스크 및 기계 가공의 혼합은 보호층(150)으로 보호되는 적절한 표면의 수를 마련한다. 예를 들어, 복수의 가스 분사구내의 유출 영역의 분사면이 양극산화 처리후에 편평한 또는 노출된 표면을 제공하도록 기계 가공되는 동안, 전극판의 제 2 표면의 플라즈마 표면이 양극산화층이 그 위에 형성되는 것을 방지하도록 마스크될 수 있다.
노출된 표면(140)위에 보호층을 형성하기 위해서 비록 반드시 필요하지는 않더라도, 양극산화층이 형성되고 다른 노출되지 않은 표면을 기계 가공하거나 양극산화 처리를 수행하기 전에 노출되지 않은 다른 표면을 마스크 하는 것(예를 들어, 구성요소간의 전기적 또는 기계적인 연결을 위해 노출된 표면을 제공하기 위해)도 또한 가능하다. 그와 같은 표면은 밀폐 또는 결합면을 포함할 수 있다.
비록 위에서 본 발명의 단지 특정한 실시예가 설명되었지만, 본 발명이 속하는 기술분야의 통상의 지식을 가진자가 본 발명의 신규한 기술 및 잇점으로부터 근본적으로 이탈하는 것 없이 용이하게 실시예내에서 많은 변형예가 가능하고 이해할 수 있다. 따라서, 그와 같은 모든 변형예는 본 발명의 범위내에 속하도록 의도된다.

Claims (79)

  1. 전극판을 상부 어셈블리로 연결하는 제 1 표면, 플라즈마 처리 시스템내의 처리 공간을 향하도록 구성된 플라즈마 표면과 상기 전극판을 상기 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 주위 가장자리, 및 상기 제 1 표면과 상기 제 2 표면을 연결하고 처리 가스를 상기 처리 공간으로 연결하도록 구성된 하나 이상의 가스 분사구를 포함하는 전극판;및
    상기 플라즈마 표면을 포함하는 상기 전극판의 복수의 노출된 표면에 연결되는 보호층;을 포함하며,
    상기 제 1 표면은, 양극산화층을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  2. 제 1 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되고, 상기 처리 가스를 수용하여 상기 복수의 가스 분사구로 분배하는 플리넘 홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  3. 제 1 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되어 상기 상부 어셈블리에 상기 전극판을 밀폐하도록 구성된 제 1 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  4. 제 1 항에 있어서,
    상기 전극판은, 진단 시스템을 상기 플라즈마 처리 시스템으로 연결하는 진단 포트와 상기 진단 포트를 상기 상부 어셈블리에 밀폐하는 제 2 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  5. 제 1 항에 있어서,
    상기 보호층은, 3족 원소와 란탄족 원소 중 적어도 하나를 포함하는 화합물을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  6. 제 5 항에 있어서,
    상기 3족 원소는, 이트륨, 스칸듐, 및 란탄 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  7. 제 5 항에 있어서,
    상기 란탄족 원소는, 세륨, 디스프로슘, 및 유로퓸 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  8. 제 1 항에 있어서,
    상기 보호층은, 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  9. 제 1 항에 있어서,
    상기 가스 분사구는, 유입 영역 및 분사면을 구비한 유출 영역을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  10. 제 4 항에 있어서,
    상기 진단 포트는, 유입구 및 내부면을 포함하는 유출구를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  11. 제 9 항에 있어서,
    상기 보호층은, 상기 가스 분사구의 상기 분사면에 연결되는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  12. 제 10 항에 있어서,
    상기 보호층은, 진단포트의 상기 내부면에 연결되는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  13. 제 1 항에 있어서,
    상기 보호층은, 상기 노출된 표면 중 적어도 어느 하나를 일정하게 가로지르는 최소 두께로 이루어진 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  14. 제 1 항에 있어서,
    상기 보호층은, 0.5 미크론에서 500 미크론 범위의 가변 두께로 이루어진 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  15. 제 1 항에 있어서,
    적어도 하나의 가스 분사구의 지름은 적어도 0.1mm인 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  16. 제 1 항에 있어서,
    적어도 하나의 가스 분사구의 길이는 적어도 1.0mm인 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  17. 제 9 항에 있어서,
    상기 노출된 표면은, 상기 하나 이상의 가스 분사구의 상기 분사면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  18. 제 10 항에 있어서,
    상기 노출된 표면은, 상기 진단포트의 상기 내부면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  19. 제 1 항에 있어서,
    상기 전극판은, 금속을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  20. 제 19 항에 있어서,
    상기 금속은, 알루미늄을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  21. 삭제
  22. 제 2 항에 있어서,
    상기 플리넘 홀은, 양극산화층을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  23. 제 1 항에 있어서,
    상기 결합면은, 금속 표면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 상부 전극.
  24. 전극판을 상부 어셈블리로 연결하는 제 1 표면, 플라즈마 처리 시스템내의 처리 공간을 향하도록 구성된 플라즈마 표면과 상기 전극판을 상기 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 상기 전극판의 주위 가장자리, 및 상기 제 1 표면과 상기 제 2 표면을 연결하고 처리 가스를 상기 처리 공간으로 연결하도록 구성된 하나 이상의 가스 분사구를 포함하는 전극판을 제조하는 단계;및
    상기 플라즈마 표면을 포함하는 상기 복수의 노출된 표면위에 보호층을 형성하는 단계;를 포함하며,
    상기 제 1 표면은, 양극산화층을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  25. 제 24 항에 있어서,
    상기 전극판위의 표면 양극산화층을 형성하기 위해 상기 전극판을 양극산화 처리하는 단계;및
    상기 노출된 표면위에 상기 보호층을 형성하기 전에 상기 표면 양극산화층을 제거하기 위해 상기 전극판위의 상기 노출된 표면을 기계 가공하는 단계;를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  26. 제 24 항에 있어서,
    상기 전극판위의 상기 노출된 표면을 마스크하는 단계;
    상기 전극판위의 표면 양극산화층을 형성하기 위해 상기 전극판을 양극산화 처리하는 단계;및
    상기 노출된 표면위에 상기 보호층을 형성하기 전에 상기 노출된 표면의 마스크를 제거하는 단계;를 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  27. 제 24 항에 있어서,
    상기 전극판을 제조하는 단계는, 기계 가공, 주조, 연마, 단조, 및 연삭 중 어느 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  28. 제 24 항에 있어서,
    상기 보호층을 형성하는 단계는, 상기 노출된 표면 중 어느 하나위에 상기 보호층을 연마하는 것을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  29. 제 24 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되고 상기 처리 가스를 수용하여 상기 복수의 가스 분사구로 분배하는 플리넘 홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  30. 제 24 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되어 상기 상부 어셈블리에 상기 전극판을 밀폐하도록 구성된 제 1 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  31. 제 24 항에 있어서,
    상기 전극판은, 진단 시스템을 상기 플라즈마 처리 시스템으로 연결하는 진단 포트와 상기 진단 포트를 상기 상부 어셈블리에 밀폐하는 제 2 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  32. 제 24 항에 있어서,
    상기 가스 분사구는, 유입 영역 및 분사면을 구비한 유출 영역을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  33. 제 31 항에 있어서,
    상기 진단 포트는, 유입구 및 내부면을 포함하는 유출구를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  34. 제 32 항에 있어서,
    상기 노출된 표면은, 상기 하나 이상의 가스 분사구의 상기 분사면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  35. 제 33 항에 있어서,
    상기 노출된 표면은, 상기 진단포트의 상기 내부면을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  36. 제 24 항에 있어서,
    상기 전극판은, 금속을 더 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  37. 제 36 항에 있어서,
    상기 금속은, 알루미늄을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  38. 제 24 항에 있어서,
    상기 보호층은, 3족 원소와 란탄족 원소 중 적어도 하나를 포함하는 화합물을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  39. 제 38 항에 있어서,
    상기 3족 원소는, 이트륨, 스칸듐, 및 란탄 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  40. 제 38 항에 있어서,
    상기 란탄족 원소는 세륨, 디스프로슘, 및 유로퓸 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  41. 제 24 항에 있어서,
    상기 보호층은, 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  42. 제 24 항에 있어서,
    상기 보호층은, 상기 노출된 표면 중 적어도 어느 하나를 일정하게 가로지르는 최소 두께로 이루어진 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  43. 제 24 항에 있어서,
    상기 보호층은, 0.5 미크론에서 500 미크론 범위의 가변 두께로 이루어진 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  44. 삭제
  45. 제 29 항에 있어서,
    상기 플리넘 홀은, 양극산화층을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  46. 제 24 항에 있어서,
    상기 결합면은, 금속 표면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  47. 제 24 항에 있어서,
    적어도 하나의 가스 분사구의 지름은 적어도 0.1mm인 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  48. 제 24 항에 있어서,
    적어도 하나의 가스 분사구의 길이는 적어도 1.0mm인 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  49. 제 24 항에 있어서,
    상기 전극판을 제조하는 단계는, 기계 가공, 주조, 연마, 단조, 및 연삭 중 어느 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  50. 제 24 항에 있어서,
    상기 보호층을 형성하는 단계는, 상기 노출된 표면 중 어느 하나위에 상기 보호층을 연마하는 것을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  51. 제 24 항에 있어서,
    상기 노출된 표면은, 상기 전극판위에 남겨진 모든 표면을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  52. 플라즈마 처리 시스템의 상부 어셈블리에 연결될 수 있는 전극판의 생산방법 으로서,
    전극판을 상부 어셈블리로 연결하는 제 1 표면, 플라즈마 처리 시스템내의 처리 공간을 향하도록 구성된 플라즈마 표면과 상기 전극판을 상기 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 상기 전극판의 주위 가장자리, 및 상기 제 1 표면과 상기 제 2 표면을 연결하고 처리 가스를 상기 처리 공간으로 연결하도록 구성된 하나 이상의 가스 분사구를 포함하는 전극판을 제조하는 단계;
    상기 전극판위의 표면 양극산화층을 형성하기 위해 상기 전극판을 양극산화 처리하는 단계;
    상기 전극판의 상기 제 2 표면의 상기 플라즈마 표면을 포함하는 상기 전극판위의 상기 노출된 표면위에 상기 표면 양극산화층을 제거하기 위해 상기 전극판위의 상기 노출된 표면을 기계 가공하는 단계;및
    상기 노출된 표면위에 보호층을 형성하는 단계;를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  53. 제 52 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되고 상기 처리 가스를 수용하여 상기 하나 이상의 가스 분사구로 분배하는 플리넘 홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  54. 제 52 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되어 상기 상부 어셈블리에 상기 전극판을 밀폐하도록 구성된 제 1 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  55. 제 52 항에 있어서,
    상기 전극판은, 진단 시스템을 상기 플라즈마 처리 시스템으로 연결하는 진단 포트와 상기 진단 포트를 상기 상부 어셈블리에 밀폐하는 제 2 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  56. 제 52 항에 있어서,
    상기 보호층은, 3족 원소와 란탄족 원소 중 적어도 하나를 포함하는 화합물을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  57. 제 56 항에 있어서,
    상기 3족 원소는, 이트륨, 스칸듐, 및 란탄 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  58. 제 56 항에 있어서,
    상기 란탄족 원소는, 세륨, 디스프로슘, 및 유로퓸 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  59. 제 56 항에 있어서,
    상기 보호층은, 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  60. 제 52 항에 있어서,
    상기 전극판을 제조하는 단계는, 기계 가공, 주조, 연마, 단조, 및 연삭 중 어느 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  61. 제 52 항에 있어서,
    상기 보호층을 형성하는 단계는, 상기 노출된 표면 중 어느 하나위에 상기 보호층을 연마하는 것을 더 포함하는 것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  62. 제 52 항에 있어서,
    상기 가스 분사구는, 유입 영역 및 분사면을 구비한 유출 영역을 더 포함하는것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  63. 제 55 항에 있어서,
    상기 진단 포트는, 유입구 및 내부면을 포함하는 유출구를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  64. 제 62 항에 있어서,
    상기 노출된 표면은, 상기 하나 이상의 가스 분사구의 상기 분사면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  65. 제 63 항에 있어서,
    상기 노출된 표면은, 상기 진단포트의 상기 내부면을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  66. 플라즈마 처리 시스템의 상부 어셈블리에 연결될 수 있는 전극판의 생산방법으로서,
    전극판을 상부 어셈블리로 연결하는 제 1 표면, 플라즈마 처리 시스템내의 처리 공간을 향하도록 구성된 플라즈마 표면과 상기 전극판을 상기 플라즈마 처리 시스템에 결합하는 결합면을 포함하는 제 2 표면, 상기 전극판의 주위 가장자리, 및 상기 제 1 표면과 상기 제 2 표면을 연결하고 처리 가스를 상기 처리 공간으로 연결하도록 구성된 하나 이상의 가스 분사구를 포함하는 전극판을 제조하는 단계;
    표면 양극산화층의 형성을 방지하기 위해, 상기 전극판의 상기 제 2 표면의 상기 플라즈마 표면을 포함하는 상기 전극판위의 노출된 표면을 마스크하는 단계;
    상기 전극판위의 상기 표면 양극산화층을 형성하기 위해 상기 전극판을 양극산화 처리하는 단계;
    상기 노출된 표면에 마스크를 제거하는 단계;및
    상기 노출된 표면위에 보호층을 형성하는 단계;를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  67. 제 66 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되고 상기 처리 가스를 수용하여 상기 하나 이상의 가스 분사구로 분배하는 플리넘 홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  68. 제 66 항에 있어서,
    상기 전극판은, 상기 제 1 표면에 연결되어 상기 상부 어셈블리에 상기 전극판을 밀폐하도록 구성된 제 1 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  69. 제 66 항에 있어서,
    상기 전극판은, 진단 시스템을 상기 플라즈마 처리 시스템으로 연결하는 진 단 포트와 상기 진단 포트를 상기 상부 어셈블리에 밀폐하는 제 2 밀폐홀을 더 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  70. 제 66 항에 있어서,
    상기 보호층은, 3족 원소와 란탄족 원소 중 적어도 하나를 포함하는 화합물을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
  71. 제 67 항에 있어서,
    상기 3족 원소는, 이트륨, 스칸듐, 및 란탄 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  72. 제 67 항에 있어서,
    상기 란탄족 원소는, 세륨, 디스프로슘, 및 유로퓸 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  73. 제 67 항에 있어서,
    상기 보호층은, 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 및 Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  74. 제 66 항에 있어서,
    상기 전극판을 제조하는 단계는, 기계 가공, 주조, 연마, 단조, 및 연삭 중 어느 하나를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  75. 제 66 항에 있어서,
    상기 보호층을 형성하는 단계는, 상기 노출된 표면 중 어느 하나위에 상기 보호층을 연마하는 것을 더 포함하는 것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  76. 제 66 항에 있어서,
    상기 가스 분사구는, 유입 영역 및 분사면을 구비한 유출 영역을 더 포함하는것을 특징으로 하는 플라즈마 처리 챔버의 전극판의 생산방법.
  77. 제 69 항에 있어서,
    상기 진단 포트는, 유입구 및 내부면을 포함하는 유출구를 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  78. 제 76 항에 있어서,
    상기 노출된 표면은, 상기 하나 이상의 가스 분사구의 상기 분사면을 포함하는 것을 특징으로 하는 플라즈마 처리 시스템의 전극판의 생산방법.
  79. 제 77 항에 있어서,
    상기 노출된 표면은, 상기 진단포트의 상기 내부면을 포함하는 것을 특징으로 하는 플라즈마 시스템의 전극판의 생산방법.
KR1020057005446A 2002-09-30 2003-09-29 플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치및 방법 KR100753704B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,757 US7166200B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved upper electrode plate in a plasma processing system
US10/259,757 2002-09-30

Publications (2)

Publication Number Publication Date
KR20050053711A KR20050053711A (ko) 2005-06-08
KR100753704B1 true KR100753704B1 (ko) 2007-08-30

Family

ID=32029554

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005446A KR100753704B1 (ko) 2002-09-30 2003-09-29 플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치및 방법

Country Status (6)

Country Link
US (2) US7166200B2 (ko)
JP (1) JP2006501645A (ko)
KR (1) KR100753704B1 (ko)
CN (1) CN100555550C (ko)
AU (1) AU2003269393A1 (ko)
WO (1) WO2004030011A2 (ko)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7291566B2 (en) * 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200273675A1 (en) * 2019-02-27 2020-08-27 Toto Ltd. Semiconductor manufacturing apparatus member, and display manufacturing apparatus and semiconductor manufacturing apparatus comprising semiconductor manufacturing apparatus member
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59186325A (ja) * 1983-04-01 1984-10-23 コンパニ−・アンデユストリエル・デ・テレコミユニカシオン・セイテ−アルカテル ドライエツチング装置
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4985102A (en) 1986-07-17 1991-01-15 Du Pont Canada Inc. Method of making fiber reinforced polyamide sheets
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) * 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0508731B1 (en) * 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Use of an oxide coating to enhance the resistance to oxidation and corrosion of a silicon nitride based gas turbine blade
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5725960A (en) * 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) * 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
TW321821B (ko) * 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3798597B2 (ja) * 1999-11-30 2006-07-19 富士通株式会社 半導体装置
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
DE50100469D1 (de) * 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
EP1642994B8 (en) * 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) * 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
EP1358660B1 (en) * 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치

Also Published As

Publication number Publication date
AU2003269393A8 (en) 2004-04-19
WO2004030011A2 (en) 2004-04-08
WO2004030011A3 (en) 2004-08-05
CN100555550C (zh) 2009-10-28
US20070096658A1 (en) 2007-05-03
US7566368B2 (en) 2009-07-28
CN1685464A (zh) 2005-10-19
US20040061447A1 (en) 2004-04-01
JP2006501645A (ja) 2006-01-12
AU2003269393A1 (en) 2004-04-19
KR20050053711A (ko) 2005-06-08
US7166200B2 (en) 2007-01-23

Similar Documents

Publication Publication Date Title
KR100753704B1 (ko) 플라즈마 처리 시스템에서 개선된 상부 전극판을 위한 장치및 방법
KR100739247B1 (ko) 플라즈마 처리 시스템에서 용착 실드가 구비된 개선된 상부전극판을 위한 장치 및 방법
KR100733167B1 (ko) 플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및방법
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
KR100918528B1 (ko) 처리부재 상에 인접한 코팅을 결합시키는 방법
KR100699636B1 (ko) 플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법
JP4532479B2 (ja) 処理部材のためのバリア層およびそれと同じものを形成する方法。

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 11