KR100918528B1 - 처리부재 상에 인접한 코팅을 결합시키는 방법 - Google Patents

처리부재 상에 인접한 코팅을 결합시키는 방법 Download PDF

Info

Publication number
KR100918528B1
KR100918528B1 KR1020057018490A KR20057018490A KR100918528B1 KR 100918528 B1 KR100918528 B1 KR 100918528B1 KR 1020057018490 A KR1020057018490 A KR 1020057018490A KR 20057018490 A KR20057018490 A KR 20057018490A KR 100918528 B1 KR100918528 B1 KR 100918528B1
Authority
KR
South Korea
Prior art keywords
protective barrier
region
edge
processing
protective
Prior art date
Application number
KR1020057018490A
Other languages
English (en)
Other versions
KR20050113671A (ko
Inventor
게리 에스처
마크 에이 알렌
야스히사 구도
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20050113671A publication Critical patent/KR20050113671A/ko
Application granted granted Critical
Publication of KR100918528B1 publication Critical patent/KR100918528B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Prevention Of Fouling (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리시스템의 처리부재들에 행해지는 둘 이상의 코팅은, 보호배리어 또는 보호코팅으로 처리된다. 상기 처리부재 상에 둘 이상의 코팅을 결합하는 방법이 기술된다. 제1보호 배리어가 적용된 후, 상기 제1보호배리어의 일부가 처리된다. 이후, 상기 제1보호배리어가 적용된 영역의 적어도 일부상에 제2보호배리어가 적용된다.

Description

처리부재 상에 인접한 코팅을 결합시키는 방법{A METHOD FOR ADJOINING ADJACENT COATINGS ON A PROCESSING ELEMENT}
상호 참조되는 관련출원
본 출원은 2003년 3월 31일에 출원한 미국 임시출원(Provisional Application)번호 60/458,407에 관련되며 이를 기초로 우선권 주장한 것으로서, 상기 임시출원은, 2002년 9월 30일 출원한 일련번호 10/259,757의 동시계류중인 미국출원; 2002년 9월 30일 출원한 일련번호 10/259,858의 동시계류중인 미국출원; 2002년 9월 30일 출원한 일련번호 10/259,382의 동시계류중인 미국출원; 2002년 9월 30일 출원한 일련번호 10/259,380의 동시계류중인 미국출원; 2002년 9월 30일 출원한 일련번호 10/259,353의 동시계류중인 미국출원; 2002년 9월 30일 출원한 일련번호 10/259,352의 동시계류중인 미국출원; 및 2002년 9월 30일 출원한 일련번호 10/259,306의 동시계류중인 미국출원과 관련있는 것이다. 상기 모든 출원의 전체 내용은 참조를 위하여 전체로서 본 명세서에 편입된다.
본 발명은 처리부재상에 보호배리어를 형성하는 방법에 관한 것으로, 특히 처리부재상에 인접한 보호배리어를 결합시키는 방법에 관한 것이다.
반도체산업에 있어서 집적회로(IC)를 제조할 때, 플라즈마가 채용되는 것이 전형적이며, 상기 플라즈마는 기판으로부터 재료를 제거하거나 기판에 재료를 증착하기 위하여 필요한 플라즈마 반응기 내의 표면화학반응을 일으키고 돕는다. 대개, 플라즈마는 공급된 처리가스와 이온화 충돌(ionizing collision)을 하기에 충분한 에너지로 전자를 가열함으로써, 진공조건하에 있는 플라즈마 반응기 내에서 생성된다. 또, 상기 가열된 전자들은 해리성충돌(dissociative collision)을 하기에 충분한 에너지를 가질 수 있으며, 따라서 소정의 조건(예를 들어 챔버압력, 가스 플로우레이트(flow rate) 등)하에서 특정한 가스의 세트(set)가 선택되어 챔버내에서 수행되는 특정한 처리(예를 들어, 기판으로부터 재료를 제거하는 에칭처리 또는 기판에 재료를 부가하는 증착처리)에 적합한 충전종(charged species)과 화학반응종(chemically reactive species)의 집단을 형성할 수 있다.
플라즈마 처리시스템의 기능(즉, 재료 에칭, 재료 증착 등)을 수행하기 위하여 기판 표면에 충전종(이온 등)과 화학반응종의 집단이 형성되는 것이 필요하지만, 처리챔버 내부상의 다른 부재의 표면들은 물리적으로 또한 화학적으로 활성인 플라즈마에 노출되어 곧 부식될 수 있다. 플라즈마 처리시스템 내에서 노출된 구성요소들이 부식되면, 플라즈마 처리작업성이 점차 악화되고 궁극적으로는 시스템의 완전한 실패를 초래할 수 있다.
처리플라즈마에 노출되어 받는 피해를 최소화하기 위하여, 처리 플라즈마에 노출되는 것으로 알려진 플라즈마처리시스템의 구성요소들은 보호배리어로 코팅된다. 예를 들어, 알루미늄으로 만들어진 구성요소들은 플라즈마에 보다 잘 견디는 알루미늄 산화물의 표면층을 형성하기 위하여 양극산화(anodizied)될 수 있다. 다 른 예로는, 실리콘, 석영, 알루미나, 카본, 또는 실리콘 카바이드와 같이 소모성(consumable) 또는 대체 가능한 구성요소들을 처리챔버 내에 삽입함으로써, 빈번한 교체가 될 경우에는 보다 큰 제조비용을 초래하는 보다 비싼 구성요소들의 표면을 보호할 수 있다. 또, 처리 플라즈마와 기판상에 형성될 수 있는 디바이스(devices)에 원하지 않는 오염물, 불순물 등이 도입되는 것을 최소화할 수 있는 표면재료를 선택하는 것이 바람직하다.
상기 두 가지 경우에 있어서, 보호배리어의 완전성 또는 보호배리어 제조과정의 완전성에 기인하여 불가피하게 생기는 보호코팅의 결함 및 대체 가능한 구성요소의 소모적인 성질로 인하여, 플라즈마 처리시스템의 빈번한 메인터넌스(maintenance)가 요구된다. 이러한 빈번한 메인터넌스로 인하여 플라즈마처리 중단시간(down-time)과 새로운 플라즈마 처리챔버 구성요소와 관련된 비용이 발생할 수 있으며, 이는 과도한 비용상승을 초래할 수 있다.
처리부재 상에 보호배리어를 형성하는 방법이 설명된다.
처리부재(processing element) 상에 적어도 둘 이상의 보호배리어 (protective barriers)를 결합하기 위한 방법으로서, 상기 처리부재상에, 제1보호배리어와 제2보호배리어가 겹치는 부분(overlap)을 포함하는 전이영역(transition region)을 형성하는 단계와; 상기 제1보호배리어를, 상기 처리부재의 상기 전이영역을 포함하는 제1영역(first region)에 적용하는 단계와; 상기 제2보호배리어의 접착성을 향상하기 위하여, 상기 처리부재의 상기 전이영역을 포함하는 제2영역(second region)을 처리하는 단계와; 상기 제2보호배리어를 상기 제2영역에 적용하는 단계를; 포함하여 구성된다.
처리시스템용 처리부재로서, 상기 처리부재상의 제1영역에 결합된 제1보호배리어와; 상기 처리부재상의 제2영역에 결합된 제2보호배리어;를 포함하며, 상기 제1영역과 제2영역은 겹쳐져서 전이영역을 형성한다.
본 발명의 장점들은, 첨부된 도면을 참조하여 행해지는 후술하는 본 발명 실시예의 상세한 설명으로부터 보다 명확하고 쉽게 이해될 수 있을 것이다.
도 1은, 본 발명의 일 실시예에 따른 플라즈마 처리시스템의 개략 블록도이다.
도 2A는, 도1에 개시된 플라즈마 처리시스템의 처리부재의 일부에 대한 확대횡단면도이다.
도 2B는, 도1에 개시된 플라즈마 처리시스템의 처리부재의 일부에 대한 다른 확대횡단면도이다.
도 2C는, 도1에 개시된 플라즈마 처리시스템의 처리부재의 일부에 대한 다른 확대횡단면도이다.
도 2D는, 도1에 개시된 플라즈마 처리시스템의 처리부재의 일부에 대한 다른 확대횡단면도이다.
도 3은, 본 발명의 일 실시예에 따른 플라즈마 처리시스템의 처리부재 상에 보호배리어를 형성하는 방법을 나타낸 것이다.
플라즈마 에칭과 같은 처리를 할 수 있는 플라즈마 처리 시스템(1)이 도1에 도시되어 있으며, 상기 시스템은 처리챔버(10), 상부조립체(20), 상부벽(24), 기판(35)을 지지하기 위한 기판홀더(30), 및 진공펌프(미도시)에 연결된 펌핑덕트(pumping duct;40)를 포함하여 구성된다.
처리챔버(10)는, 예컨대 기판(35)에 인접한 처리공간(12)에 처리 플라즈마가형성되는 것을 촉진시킬 수 있다. 상기 플라즈마 처리시스템(1)은, 다양한 기판(즉, 200mm 기판, 300mm 기판, 또는 그 이상)을 처리하도록 구성될 수 있다.
도시된 실시예에서, 상부조립체(20)는, 적어도 하나의 커버, 가스주입조립체, 및 상부전극 임피던스정합회로망(upper eletrode impedance match network)을 포함할 수 있다. 예컨대, 상부벽(24)은 RF소스(radio frequency source)와 결합된 전극판을 구비한 전극을 포함하도록 구성되어, 상기 플라즈마 처리시스템(1)에 대하여 상부전극을 용이하게 작용시키도록 구성될 수 있다. 다른 실시예에서는, 상기 상부조립체(20)는 커버와 상부벽(24)을 포함하여 구성되며, 상기 상부벽(24)은 처리챔버(10)와 등가의 전위(electrical potential)를 유지한다. 예를 들어, 상기 처리챔버(10), 상기 상부조립체(20) 및 상부벽(24)은 전기적으로 접지전위(ground potential) 와 연결되어 있어, 상기 플라즈마 처리시스템(1)에 대하여 접지벽을 용이하게 작용시킨다.
예컨대, 처리챔버(10)는, 처리공간(12)내의 처리 플라즈마로부터 플라즈마처리챔버(10)를 보호하기 위한 증착 쉴드(deposition shield;14)와, 광학적 보임창 (optical viewport;16)를 더 포함할 수 있다. 광학적 보임창(16)은 광학창 증착쉴드(18)의 배면에 결합된 광학창(optical window;17)을 포함할 수 있으며, 광학창 플랜지(19)가 광학창(17)을 상기 광학창 증착쉴드(18)에 결합시키도록 구성될 수 있다. O링과 같은 씰링부재가, 상기 광학창 플랜지(19)와 광학창(17) 사이, 상기 광학창(17)과 광학창 증착쉴드(18) 사이, 및 상기 광학창 증착쉴드(18)와 처리챔버(10) 사이에 제공될 수 있다. 광학창 증착쉴드(18)는 개구(70)를 통하여 증착쉴드(14) 내로 연장될 수 있다. 광학적 보임창(16)을 통하여, 예컨대 처리공간(12)내의 처리 플라즈마로부터 광학적방출(optical emission)이 일어나는 것을 모니터링할 수 있다.
기판홀더(30)는, 예컨대 벨로우즈(bellows;52)로 둘러싸인 수직이동장치(50)을 더 포함할 수 있으며, 상기 벨로우즈는 기판홀더(30)와 처리챔버(10)를 결합시키고 처리챔버(10) 내의 감압분위기(11)로부터 상기 수직이동장치(50)를 씰링하도록 구성된다. 또한, 예컨대 벨로우즈 쉴드(54)가 상기 기판홀더(30)에 결합될 수 있으며, 상기 쉴드는 벨로우즈(52)를 처리 플라즈마로부터 보호하도록 구성된다. 기판홀더(10)에는, 포커스링(focus ring;60)이 더 결합될 수 있으며, 쉴드 링(56)이 선택적으로 결합될 수 있다. 또한, 배플판(baffle plate;58)이, 예컨대 상기 기판홀더(30)의 둘레를 따라 연장될 수 있다.
기판(35)은, 예컨대 슬롯 밸브(slot valve;미도시)와 챔버 피드 드루(chamber feed-through;미도시)를 통해 로봇식(robotic)기판반송시스템에 의하여 처리챔버(10) 내로 또는 그 외부로 반송될 수 있으며, 상기 기판은 기판홀더(30) 내에 수용된 기판승강핀(미도시)에 수납되고 기판홀더에 수용된 장치에 의하여 기계적으로 이송된다. 일단 기판(35)이 상기 기판반송시스템으로부터 인도되면 기판홀더(30)의 상부면을 향하여 하강된다.
기판(35)은, 예컨대 정전기적(electrostatic) 클램핑 시스템에 의하여 상기 기판홀더(30)에 고정될 수 있다. 또한, 기판홀더(30)는, 기판홀더(30)로부터 열을 전달받아 열교환시스템(미도시)으로 열을 전달하며 가열시에는 상기 열교환시스템으로부터 기판홀더(30)로 열을 전달하는 재순환 냉각제(coolant)의 흐름을 가지는 냉각시스템을 더 포함할 수 있다. 또, 기판(35)과 기판홀더(30) 사이의 가스-간극(gas-gap) 열 컨덕턴스(thermal conductance)를 개선시키기 위하여 배면의 가스시스템을 통하여 기판(35)의 배면으로 가스가 전달될 수 있다. 이러한 시스템은, 기판의 온도가 상승 또는 하강된 상태에서 기판의 온도제어가 요구될 때, 유용하게 사용될 수 있다. 다른 실시예에서는, 저항가열부재 또는 열전(thermo-electric) 히터/쿨러와 같은 가열부재들이 도입될 수 있다.
도1에 도시된 실시예에서는, 기판홀더(30)가 전극을 포함할 수 있으며, 상기 전극을 통하여 RF 파워가 처리공간(12) 내의 처리플라즈마에 도입된다. 예를 들어, 기판홀더(30)는, 임피던스 정합회로망(impedance match network;미도시)을 통하여 RF제너레이터(미도시)로부터 기판홀더(30)로 전달되는 RF파워에 의하여 RF전압으로 전기적으로 바이어스될 수 있다. 상기 RF바이어스는 전자를 가열하는데 제공되어 플라즈마를 생성하고 유지할 수 있다. 이러한 구성에서는, 상기 시스템은 반응성이온에칭(reacive ion etch;RIE) 반응기로서 작동할 수 있으며, 상기 챔버와 상부 가 스주입전극은 접지면으로 제공된다. 상기 RF 바이어스용의 전형적인 주파수는 1~100MHz의 범위이며, 예컨대 13.56MHz이다. 플라즈마 처리용 RF시스템은 당해 기술분야의 당업자에게 잘 알려져 있다.
다른 실시예에서는, 처리공간(12) 내에 형성되는 처리플라즈마는, DC 마그넷시스템을 구비하거나 구비하지 않은, 평행판(parallel-plate), 용량성결합형플라즈마(capacitively coupled plasma;CCP) 소스, 유도결합형플라즈마(inductively coupled plasma;ICP) 소스, 트랜스포머결합형플라즈마(transformer coupled plasma ;TCP) 소스 및 이들의 조합에 의한 구성을 사용하여 생성시킬 수 있다. 처리공간(12) 내의 처리플라즈마는, 전자사이클로트론공명(electron cyclotron resonance ;ECR)를 사용하여 생성될 수도 있다. 또 다른 실시예에서는, 처리공간(12) 내의 처리플라즈마가 헬리콘파(Helicon wave)를 일으킴에 의하여 생성될 수 있다. 또 다른 실시예에서는, 처리공간(12) 내의 처리플라즈마가 표면진행파(propagating surface wave)로부터 형성된다.
도 1을 참조하면, 플라즈마처리장치(1)는, 하나 이상의 처리부재들을 포함하여 구성되며, 각 처리부재는 처리공간(12)내의 처리 플라즈마에 노출될 수 있고 따라서 처리과정동안 포텐셜부식(potential erosion)되기 쉽다. 예를 들어, 하나 이상의 처리부재들은, 전극판, 증착쉴드, 챔버라이너(chamber liner), 벨로우즈 쉴드, 배플판, 광학창 증착쉴드, 쉴드 링(shield ring), 포커스 링 등을 포함하여 구성된다. 처리 플라즈마에 노출된 상기 처리부재들의 부식과 이에 따른 기판의 오염을 최소화하기 위하여, 상기 처리부재들은 보호배리어로 코팅된다.
도 2A에 도시된 일 실시예에서는, 처리부재(100)는, 전이영역(110)을 포함하며, 상기 전이영역에는 제1보호배리어(120)가 제2보호배리어(130)와 인접해있다. 예를 들면, 상기 전이영역은 상기 제1보호배리어(120)와 제2보호배리어(130) 사이의 겹쳐지는 영역(extent)을 형성할 수 있다. 도 2A에 도시된 바와 같이, 상기 전이영역(110)은 에지(edge)를 포함하며, 상기 에지는 적어도 하나의 에지반경(edge radius;12)으로 특징지어질 수 있다. 또, 본 실시예에서, 상기 전이영역(110)은 에지의 반경 아크(radial arc)의 0~100% 범위로 연장될 수 있다.
각 보호배리어(120,130)는, 예컨대 표면 양극산화층(surface anodization), 플라즈마 전해산화(plasma electrolytic oxidation)를 사용하여 형성된 코팅, 또는 열적 스프레이코팅과 같은 스프레이코팅 중 하나를 포함할 수 있다. 일 실시예에서, 각 보호배리어(120,130)는 Al2O3, Y2O3 중 적어도 하나를 포함할 수 있다. 다른 실시예에서는, 각 보호배리어(120,130)는 Ⅲ족 원소(주기율표의 Ⅲ족)와 란탄족 원소 중 적어도 하나를 포함한다. 또 다른 실시예에서는, 상기 Ⅲ족원소는, 이트륨, 스칸듐 및 란타늄 중 적어도 하나를 포함한다. 또 다른 실시예에서, 상기 란탄족 원소는, 세륨, 디스프로슘 및 유로퓸 중 적어도 하나를 포함한다. 또 다른 실시예에서, 보호층을 형성하는 화합물은, 산화이트륨(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, Dy2O3 중 적어도 하나를 포함한다. 또 다른 실시예에서, 각 보호배리어(120,130)는, 케로나이트(Keronite;케로나이트 리미티드사제의 상업적으로 유용한 표면코팅처리, Advanced Surface Technology, PO Box 700, Granta Park, Great Abington, Cambridge CB1 6ZY, UK)를 포함할 수 있다. 또 다른 실시예에서는, 각 보호배리어(120,130)는, 실리콘, 실리콘카바이드, 알루미나, 테플론(Teflon), 베스펠(Vespel) 또는 켑톤(Kapton) 중 적어도 하나를 포함할 수 있다. 예컨대, 제1보호배리어(120)는 표면 양극산화층을 포함할 수 있으며, 제2보호배리어(130)는 스프레이코팅을 포함할 수 있다.
도 2A에 도시된 바와 같이, 상기 전이영역(110)은 처리부재(100)의 에지를 포함하며, 상기 에지는 에지반경(112)를 구비하도록 가공될 수 있다. 상기 에지반경(112)은 0.5mm를 초과할 수 있으며, 예컨대 0.5~2mm의 범위일 수 있다. 상기 에지 반경(112)은 2mm를 초과할 수도 있다. 대신에, 상기 에지반경은 무한한 반경(즉, 평면)에 접근할 수도 있다. 도2B에 도시된 바와 같이, 제1보호배리어(120)는, 처리부재의 제1영역(140)에 적용될 수 있어 상기 전이영역(110)의 적어도 일부분의 위에 걸쳐 연장된다. 예를 들면, 상기 전이영역(110)이 에지를 구비할 때, 상기 전이영역(110) 상의 제1보호배리어(120)의 연장범위는 에지 반경 아크의 적어도 50%를 포함하여야 하며, 바람직하게는 상기 반경 아크의 90~110%를 포함하는 것이 좋다. 상기 제1보호배리어(120) 적용부는 상기 처리부재의 마스킹된 영역 또는 표면을 포함할 수 있어, 제1보호배리어(120)가 이들 영역/표면에 적용되는 것을 방지할 수 있다. 또, 제1보호배리어(120) 적용부는, 상기 제1보호코팅(120)을 적용하는 것이 바람직하지 않은 처리부재(100)의 재가공(re-machining)영역 또는 표면을 더 포함할 수 있다.
제1보호배리어(120)의 적용에 뒤이어서, 처리부재(100)의 제2영역(142)의 표 면층을 거칠게 하기 위하여 제2영역(142)을 개량하는 처리를 할 수 있다. 제2영역(142)의 처리는, 예컨대 그릿 블라스팅을 포함할 수 있다. 도2C에 도시된 바와 같이, 상기 제2영역(142)(짙은 점선에 의하여 강조된)은, 제1영역(140)의 일부를 포함하며 처리부재의 전이영역(110)의 적어도 일부상으로 연장된다. 예를 들어, 상기 전이영역(110)이 에지를 포함할 때, 상기 제2영역(142)의 연장부는 도2C에 도시된 바와 같이, 에지 반경 아크의 적어도 50%를 포함하여야 한다.
상기 제2영역(142)의 처리 후에, 제2보호배리어(130)가, 전이영역(110) 상에서 연장되어 제1보호배리어(120)를 부분적으로 덮도록 처리부재의 제3영역(144)에 적용될 수 있다. 예를 들면, 상기 전이영역(110)이 에지를 포함할 때, 상기 전이영역(110) 상의 제2보호배리어(130) 연장부는, 도2D에 도시된 바와 같이 반경 아크의 적어도 50%를 포함하여야 하며, 바람직하게는 90~110%의 연장범위를 가지는 것이 좋다. 상기 제2보호배리어(130) 적용부는 상기 처리부재의 마스킹된 영역 또는 표면을 포함할 수 있어, 제2보호배리어(130)가 이들 영역/표면에 적용되는 것을 방지할 수 있다.
도3에는, 인접한 코팅을 결합시키는 방법을 개시하고 있다. 상기 방법은 단계 510으로 시작하는 플로우차트(500)로 나타나 있으며, 상기 510단계에서는 전이영역이 처리부재의 적어도 한 부분상에 형성된다. 예를 들면, 상기 전이영역은 처리부재상에 에지 반경을 가지는 에지의 적어도 일부를 포함할 수 있다. 상기 처리부재는, 예컨대 가공(machinning), 폴리싱(polishing) 및 연마(grinding) 중 적어도 하나 이상의 방법을 사용하여 만들어질 수 있다. 예컨대, 상술한 처리부재는 기 계도면에 나타난 설계 명세(specifications)에 따라 밀(mill) 등을 포함한 통상적인 기술을 사용하여 가공될 수 있다. 예컨대 밀을 사용하여 구성부재를 가공하는 기술은 이러한 재료를 가공하는 기술분야의 당업자에게 잘 알려져 있다. 상기 처리부재는, 예컨대 알루미늄을 포함할 수 있다.
520단계에서, 제1보호배리어는 처리부재의 제1영역상에 형성되며, 상기 제1영역은 처리부재의 전이영역을 포함한다. 상기 제1보호배리어는, 예컨대 표면양극산화층을 포함할 수 있다. 제1영역에 대한 제1보호배리어층의 적합성(conformance)을 높이기 위하여, 마스킹 또는 재가공 중 적어도 하나의 과정이 수행될 수 있다.
530단계에서, 전이영역을 또한 점유하고 있는 처리부재의 제2영역이, 특히 전이영역 상의 제2보호배리어의 접착성을 증진시키기 위하여 개량 처리된다. 상기 제2영역의 처리는, 예컨대 그릿 블라스팅을 포함할 수 있다.
540단계에서, 제2보호배리어는 처리부재의 제2영역상에 형성된다. 상기 제2보호배리어는, 예컨대 표면스프레이코팅을 포함한다. 제2영역에 대한 제2보호배리어층의 적합성을 높이기 위하여, 마스킹 또는 재가공 중 적어도 하나의 과정이 수행될 수 있다.
상기에서는 비록 단지 몇몇의 실시예만이 설명되었지만, 본 발명의 신규한 개시사항 및 장점으로부터 실질적으로 벗어남이 없이 수많은 변형예가 가능하다는것을 당업자라면 쉽게 이해할 수 있을 것이다. 따라서, 그러한 모든 변형예도 본 발명범위내에 포함되어야 할 것이다.

Claims (25)

  1. 처리부재(processing element) 상에 적어도 둘 이상의 보호배리어 (protective barriers)를 결합하기 위한 방법으로서,
    상기 처리부재상에, 제1보호배리어와 제2보호배리어가 겹치는 부분(overlap)을 포함하는 전이영역(transition region)을 형성하는 단계와;
    상기 제1보호배리어를, 상기 처리부재의 상기 전이영역을 포함하는 제1영역(first region)에 적용하는 단계와;
    상기 제2보호배리어의 접착성을 향상하기 위하여, 상기 처리부재의 상기 전이영역을 포함하는 제2영역(second region)을 처리하는 단계와;
    상기 제2보호배리어를 상기 제2영역에 적용하는 단계;를
    포함하여 구성되는 방법.
  2. 제1항에 있어서,
    상기 전이영역은 에지(edge)의 적어도 일부를 포함하는 것을 특징으로 하는 방법.
  3. 제2항에 있어서,
    상기 에지는 적어도 하나의 에지반경(edge radius)에 의하여 특징지어지는(characterized by) 것을 특징으로 하는 방법.
  4. 제3항에 있어서,
    상기 에지는 하나의 에지 반경을 포함하며, 그 에지 반경은 0.5~5mm의 범위인 에지를 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제4항에 있어서,
    상기 에지 반경은 0.5~2mm 범위인 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 제1보호배리어와 제2보호배리어는, 표면 양극산화층(surface anodization), 플라즈마 전해산화(plasma electrolytic oxidation)를 사용하여 형성된 코팅, 및 스프레이코팅 중 적어도 하나를 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 제1보호배리어와 제2보호배리어는, 알루미나, 카본, 실리콘 카바이드, 실리콘, 석영, 테플론(Teflon), 베스펠(Vespel), 켑톤(Kapton) 중 적어도 하나를 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 제1보호배리어와 상기 제2보호배리어는, Ⅲ족원소(Ⅲ-columnn element) 와 란탄족 원소(Lanthanon element) 중 적어도 하나를 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 제1보호배리어와 제2보호배리어는 산화이트륨(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 제1보호배리어는 표면 양극산화층을 포함하고, 제2보호배리어는 스프레이코팅을 포함하는 것을 특징으로 하는 방법.
  11. 제1항에 있어서,
    상기 처리는 그릿 블라스팅(grit blasting)을 포함하는 것을 특징으로 하는 방법.
  12. 처리시스템용 처리부재로서,
    상기 처리부재상의 제1영역에 결합된 제1보호배리어;와
    상기 처리부재상의 제2영역에 결합된 제2보호배리어;를 포함하여 구성되며,
    상기 제1영역과 제2영역은 겹쳐져서 전이영역을 형성하는 것을 특징으로 하 는 처리부재.
  13. 제12항에 있어서,
    상기 제2영역은, 상기 제2보호배리어의 접착이 개선되도록 처리되는 것을 특징으로 하는 처리부재.
  14. 제13항에 있어서,
    상기 처리는 그릿 블라스팅을 포함하는 것을 특징으로 하는 처리부재.
  15. 제12항에 있어서,
    상기 전이영역은 에지의 적어도 일부를 포함하는 것을 특징으로 하는 처리부재.
  16. 제15항에 있어서,
    상기 에지는 적어도 하나의 에지 반경으로 특징지어지는 것을 특징으로 하는 처리부재.
  17. 제16항에 있어서,
    상기 에지는 하나의 에지 반경을 포함하며, 그 에지 반경은 0.5~5mm의 범위인 것을 특징으로 하는 처리부재.
  18. 제17항에 있어서,
    상기 에지 반경은 0.5~2mm 범위인 것을 특징으로 하는 처리부재.
  19. 제12항에 있어서,
    상기 제1보호배리어와 제2보호배리어는, 표면 양극산화층, 플라즈마 전해산화를 사용하여 형성된 코팅, 및 스프레이코팅 중 적어도 하나를 포함하는 것을 특징으로 하는 처리부재.
  20. 제12항에 있어서,
    상기 제1보호배리어와 제2보호배리어는, 알루미나, 카본, 실리콘 카바이드, 실리콘, 석영, 테플론(Teflon), 베스펠(Vespel), 켑톤(Kapton) 중 적어도 하나를 포함하는 것을 특징으로 하는 처리부재.
  21. 제12항에 있어서,
    상기 제1보호배리어와 상기 제2보호배리어는, Ⅲ족 원소와 란탄족 원소 중 적어도 하나를 포함하는 것을 특징으로 하는 처리부재.
  22. 제12항에 있어서,
    상기 제1보호배리어와 제2보호배리어는, 산화이트륨(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, Dy2O3 중 적어도 하나를 포함하는 것을 특징으로 하는 처리부재.
  23. 제12항에 있어서,
    상기 제1보호배리어는 표면 양극산화층을 포함하고, 제2보호배리어는 스프레이코팅을 포함하는 것을 특징으로 하는 처리부재.
  24. 제12항에 있어서,
    상기 제1 및 제2보호배리어는 동일한 재료를 포함하는 것을 특징으로 하는 처리부재.
  25. 제12항에 있어서,
    상기 제1 및 제2보호배리어는 다른 재료를 포함하는 것을 특징으로 하는 처리부재.
KR1020057018490A 2003-03-31 2004-03-17 처리부재 상에 인접한 코팅을 결합시키는 방법 KR100918528B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45840703P 2003-03-31 2003-03-31
US60/458,407 2003-03-31

Publications (2)

Publication Number Publication Date
KR20050113671A KR20050113671A (ko) 2005-12-02
KR100918528B1 true KR100918528B1 (ko) 2009-09-21

Family

ID=33310682

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018490A KR100918528B1 (ko) 2003-03-31 2004-03-17 처리부재 상에 인접한 코팅을 결합시키는 방법

Country Status (6)

Country Link
US (1) US7560376B2 (ko)
JP (1) JP4597972B2 (ko)
KR (1) KR100918528B1 (ko)
CN (1) CN100495413C (ko)
TW (1) TWI238778B (ko)
WO (1) WO2004095530A2 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP2012221979A (ja) * 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
WO2015030167A1 (ja) * 2013-08-29 2015-03-05 株式会社ブリヂストン サセプタ
EP3063310B1 (en) * 2013-10-31 2020-04-08 Hewlett-Packard Development Company, L.P. Method of treating metal surfaces
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20210292893A1 (en) * 2018-07-26 2021-09-23 Lam Research Corporation Surface coating for plasma processing chamber components
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
KR102585287B1 (ko) * 2020-09-08 2023-10-05 세메스 주식회사 기판 처리 장치 및 이의 커버링
WO2023200909A1 (en) * 2022-04-15 2023-10-19 Mks Instruments, Inc. Coatings for use in remote plasma source applications and method of their manufacture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796929A (en) * 1970-12-09 1974-03-12 Philips Nv Junction isolated integrated circuit resistor with crystal damage near isolation junction
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US5200634A (en) * 1988-09-30 1993-04-06 Hitachi, Ltd. Thin film phototransistor and photosensor array using the same
PL285931A1 (en) * 1989-07-05 1991-03-11 Mitsui Petrochemical Ind Magneto-optical information medium
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
JP2524692Y2 (ja) * 1990-09-07 1997-02-05 ロデール・ニッタ株式会社 被研磨物保持具
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
JPH076959A (ja) * 1993-06-15 1995-01-10 Hiroshima Nippon Denki Kk ウェーハ支持具
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
TW323387B (ko) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JPH09139389A (ja) * 1995-11-13 1997-05-27 F T L:Kk 半導体デバイス製造用治具及び半導体デバイスの製造方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
KR100283712B1 (ko) * 1996-06-24 2001-04-02 모리시타 요이찌 반도체 장치의 제조 방법
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
CN1076868C (zh) * 1997-10-22 2001-12-26 研能科技股份有限公司 具保护层的晶片透孔加工法
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4156717B2 (ja) * 1998-01-13 2008-09-24 三菱電機株式会社 半導体装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6995476B2 (en) * 1998-07-01 2006-02-07 Seiko Epson Corporation Semiconductor device, circuit board and electronic instrument that include an adhesive with conductive particles therein
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
JP3405697B2 (ja) * 1999-09-20 2003-05-12 ローム株式会社 半導体チップ
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP4272786B2 (ja) * 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE10112889A1 (de) * 2000-03-15 2001-10-18 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende Anlagenteile
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP4581205B2 (ja) * 2000-09-11 2010-11-17 ソニー株式会社 半導体レーザの製造方法
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TW548835B (en) * 2001-08-30 2003-08-21 Sony Corp Semiconductor device and production method thereof
US6670255B2 (en) * 2001-09-27 2003-12-30 International Business Machines Corporation Method of fabricating lateral diodes and bipolar transistors
GB2383833A (en) * 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
JP3866155B2 (ja) * 2002-05-17 2007-01-10 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate

Also Published As

Publication number Publication date
JP4597972B2 (ja) 2010-12-15
CN1768341A (zh) 2006-05-03
JP2006522482A (ja) 2006-09-28
WO2004095530A3 (en) 2005-01-06
CN100495413C (zh) 2009-06-03
KR20050113671A (ko) 2005-12-02
TW200424066A (en) 2004-11-16
US7560376B2 (en) 2009-07-14
US20070142956A1 (en) 2007-06-21
TWI238778B (en) 2005-09-01
WO2004095530A2 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
KR100918528B1 (ko) 처리부재 상에 인접한 코팅을 결합시키는 방법
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140825

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150819

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170822

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190903

Year of fee payment: 11