CN100511576C - 化学氧化物去除系统和方法 - Google Patents

化学氧化物去除系统和方法 Download PDF

Info

Publication number
CN100511576C
CN100511576C CNB2004800380359A CN200480038035A CN100511576C CN 100511576 C CN100511576 C CN 100511576C CN B2004800380359 A CNB2004800380359 A CN B2004800380359A CN 200480038035 A CN200480038035 A CN 200480038035A CN 100511576 C CN100511576 C CN 100511576C
Authority
CN
China
Prior art keywords
barrier layer
protection barrier
controlled temperature
treatment system
chemical processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800380359A
Other languages
English (en)
Other versions
CN1898772A (zh
Inventor
亚瑟·H·小拉弗拉弥
托马斯·哈梅林
杰伊·华莱士
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1898772A publication Critical patent/CN1898772A/zh
Application granted granted Critical
Publication of CN100511576C publication Critical patent/CN100511576C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供了一种化学氧化物去除(COR)处理系统,其中所述COR系统包括第一处理室和第二处理室。所述第一处理室包括化学处理室,提供具有保护阻挡层的温度受控室。所述第二处理室包括热处理室,提供具有保护阻挡层的温度受控室。

Description

化学氧化物去除系统和方法
本申请要求2003年12月7日提交的美国非临时专利申请No.10/736991的优先权,其内容通过引用全部包含于本文中。
相关申请的交叉引用
本申请涉及如下文献:2003年3月17日提交的题为“ProcessingSystem and Method For Treating a Substrate”的未决美国临时申请No.60/454597;2003年3月17日提交的题为“Processing System and MethodFor Chemically Treating a Substrate”的未决美国临时申请No.60/454642;2003年3月17日提交的题为“Processing System and Method For ThermallyTreating a Substrate”的未决美国临时申请No.60/454641;和2003年3月17日提交的题为“Method and Apparatus For Thermally Insulating AdjacentTemperature Controlled Chambers”的未决美国临时申请No.60/454644。这些申请的全部内容通引用包含于本文中。
技术领域
本发明涉及处理衬底的系统和方法,更具体地涉及保护化学和热处理室的系统和方法。
背景技术
在半导体处理过程中,可利用干法等离子体蚀刻工艺来去除或蚀刻沿细线或形成在硅衬底上的过孔或触点内的材料。等离子体蚀刻工艺通常包括将具有上覆的图案化保护层(例如光刻胶层)的半导体衬底定位在处理室内。衬底定位到室内之后,以预定流率将可离子化的、离解的气体混合物引入室内,同时节流真空泵以获得环境处理压力。此后,当电子将存在的部分气体物质(species)离子化时,形成等离子体,其中电子是电感性或电容性通过传输射频(RF)功率,或例如利用电子回旋加速器共振(ECR)产生的微波功率来加热的。而且,受热电子用来离解某些种类的环境气体物质,并生成适于暴露表面蚀刻化学处理的反应物粒子。形成等离子体后,选定的衬底表面均被等离子体蚀刻。调节此工艺至合适的条件,包括适当浓度的所需反应物和离子的量,从而在衬底的选定区域蚀刻各种特征(例如,沟槽、过孔、触点、栅极等)。这种需要蚀刻的衬底材料包括二氧化硅(SiO2)、低k介电材料、多晶硅和氮化硅。
在材料处理过程中,蚀刻这种特征通常包括将在掩模层内形成的图案传递给下面的膜,在该膜内形成各个特征。掩模可以例如包括光敏材料例如(负性或正性)光刻胶多层(包括光刻胶涂层和抗反射涂层(ARC)),或者掩模可以包括由将例如光刻胶的第一层中的图案传递给下面的硬掩模层而形成的硬掩模。
发明内容
本发明涉及保护化学处理室和/或热处理室的系统和方法。
在本发明的一个方面,描述了用于使所述衬底上的暴露表面层发生化学变化的化学处理系统,所述化学处理系统包括:温度受控化学处理室,所述化学处理室具有在至少部分内表面上形成的保护阻挡层;用于对所述衬底上的已发生化学变化的表面层进行热处理的热处理系统,所述热处理系统包括温度受控热处理室,所述热处理室具有在至少部分内表面上形成的保护阻挡层;连接在所述热处理系统与所述化学处理系统之间的第一热绝缘组件,所述第一热绝缘组件定义了共用开口,用于在所述化学处理室与所述温度受控热处理室之间传输所述衬底;和连接至所述热处理室的第二热绝缘组件,所述第二热绝缘组件具有用于传输所述衬底的传输开口,其中所述化学处理系统或所述热处理系统的至少部分内表面上的所述保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
附图说明
在附图中:
图1为根据本发明的一种实施方式的处理系统的示意图。
图2为根据本发明的一种实施方式的处理系统的示意性剖视图。
图3为根据本发明的一种实施方式的化学处理系统的示意性剖视图。
图4为根据本发明的另一种实施方式的化学处理系统的示意性透视图。
图5为根据本发明的一种实施方式的热处理系统的示意性剖视图。
图6为根据本发明的另一种实施方式的热处理系统的示意性透视图。
图7为根据本发明的一种实施方式的衬底支座的示意性剖视图。
图8A为根据本发明的另一种实施方式的气体分配系统的示意性剖视图。
图8B为根据本发明的一种实施方式的图8A所示气体分配系统的局部放大图。
图9为根据本发明的一种实施方式的衬底升降组件。
具体实施方式
在材料处理方法中,图案蚀刻包括在衬底上表面上涂布光敏材料(例如光刻胶)薄层,随后将其图案化以提供用于在蚀刻时将此图案传递至下面薄膜的掩模。光敏材料的图案化通常包括利用例如微光刻系统由辐射源通过光罩(和相关光学器件)曝光光敏材料,然后利用显影溶液去除光敏材料的辐照区域(在正性光刻胶的情况下)或未辐照区域(在负性光刻胶的情况下)。
另外,可以使用多层和硬掩模来蚀刻特征。例如,当用硬掩模蚀刻特征时,采用主要蚀刻步骤之前的单独的蚀刻步骤将光敏层中的掩模图案转移至硬掩模层。硬掩模例如可选自用于硅处理的几种材料,包括例如二氧化硅(SiO2)、氮化硅(Si3N4)和碳。
为了减小所形成的特征尺寸,可用例如两步法对硬掩模进行侧面修整,该两步法包括硬掩模层暴露表面的化学处理以改变硬掩模层的表面化学,以及硬掩模层暴露表面的热处理以解吸改变的表面化学。
图1为本发明的一种实施方式的处理系统的示意图。在图1所示的实施方式中,示出了利用例如掩模层修整来处理衬底的处理系统1。处理系统1可包括第一处理系统10以及与第一处理系统10连接的第二处理系统20。例如,第一处理系统10可包括热处理系统,第二处理系统20可包括化学处理系统。而且,如图1所示,传输系统30可与第一处理系统10连接,从而将衬底传入或传出第一处理系统10和第二处理系统20,与多元制造系统40交换衬底。
第一和第二处理系统10、20和传输系统30可例如包括与多元制造系统40连接的处理元件。多元制造系统40可将衬底传入或传出处理元件,处理元件包括例如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等设备。为了隔离第一和第二系统中进行的工艺,可用隔离组件50连接各个系统。例如,隔离组件50可包括可提供热绝缘的热绝缘组件和可提供真空隔离的闸门阀组件中的至少之一。在另外的实施方式中,处理系统10和20以及传输系统30可以任意次序排列。
此外,可将控制器60连接至第一处理系统10、第二处理系统20和传输系统30。例如,控制器60可用于控制第一处理系统10、第二处理系统20和传输系统30。而且,控制器60可连接至多元制造系统40中的控制元件(未示出)。
或者,第一处理系统10、第二处理系统20和传输系统30可以不同方式配置。例如,可采用堆积排列或并排排列。
通常,图1所示的处理系统1的第一处理系统10和第二处理系统20的至少一个包括至少两个传输开口,以允许衬底通过其进行传输。例如,如图1所示,第一处理系统10包括两个传输开口,第一传输开口允许衬底在第一处理系统10与传输系统30之间传输,第二传输开口允许衬底在第一处理系统10与第二处理系统20之间传输。或者,每个处理系统可包括至少一个传输开口,以允许衬底通过其进行传输。
图2为本发明的一种实施方式的处理系统的示意性剖视图。在图示的实施方式中,示出了用于对衬底进行化学处理和热处理的处理系统200。处理系统200可包括热处理系统210以及连接至热处理系统210的化学处理系统220。热处理系统210可包括可进行温度控制的热处理室211。化学处理系统220可包括可进行温度控制的化学处理室221。热处理室211和化学处理室221可用热绝缘组件230使彼此绝热,用闸门阀组件296使彼此真空隔离,这将在下文中更详细地描述。
图3为本发明的一种实施方式的化学处理系统的示意性剖视图。如图2和图3所示,化学处理系统220还可包括温度受控衬底支座240,其被配置成与化学处理室221基本上绝热并且支撑衬底242与定心环243。定心环243可由聚四氟乙烯(PTFE)和/或四氟乙烯制成。而且,衬底支座240可包括在衬底支座240的一个或更多个暴露表面上形成的保护阻挡层241。在一种实施方式中,保护阻挡层241可通过阳极化金属然后用PTFE和/或TFE浸渍该阳极化表面来生成。例如,保护阻挡层可通过硬阳极化铝或硬阳极化铝合金并用TFE和/或PTFE浸渍该硬阳极化的表面来形成。在另一种实施方式中,不需要保护阻挡层241。
在本发明的另一种实施方式中,保护阻挡层241可包括Al2O3、氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。在本发明的其它实施方式中,保护阻挡层222可包括III族元素(元素周期表的第三列)和镧系元素中的至少一种。在本发明的另一种实施方式中,III族元素可包括钇、钪和镧中的至少一种。在本发明的另一种实施方式中,镧系元素可包括铈、镝和铕中的至少一种。
在本发明的一种实施方式中,保护阻挡层241可具有最小厚度,其中最小厚度被规定为在至少一个内表面内都为的常数。在另一种实施方式中,最小厚度在内表面上是变化的。或者,最小厚度在表面的第一部分上是常数而在该表面的第二部分上是变化的。例如,厚度可在曲面上、拐角处或孔中发生变化。例如,最小厚度可为约0.5微米至约500微米。或者,最小厚度可为约100微米至约200微米;或最小厚度可为至少约120微米。
此外,衬底支座240可包括在该衬底支座240顶表面上形成的保护阻挡层245。保护阻挡层245可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层245。
而且,化学处理系统220还可包括真空泵系统220和上部组件260,其中真空泵系统220连接至化学处理室221以控制化学处理室221中的压力,上部组件260可包括气体分配系统,用于将处理气体引入化学处理室221内的处理空间262。
另外,化学处理室221可包括在化学处理室221的一个或更多个内表面上形成的保护阻挡层222。保护阻挡层222可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层222。
此外,可在上部组件260的一个或更多个内表面上形成保护阻挡层261。保护阻挡层261可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层261。
本发明可包括多步工艺,该工艺可包括例如制备一个或更多个表面以接受保护阻挡层,然后在这些表面上形成保护阻挡层。
如图2和图5所示,热处理系统210还可包括:安装在热处理室211内的温度受控衬底支座270,其被配置成基本上与热处理室211绝热并支撑衬底242’;用于对热处理室211进行抽真空的真空泵系统280;衬底升降组件290;以及连接至热处理室211的驱动系统530。升降组件290可在支撑面(实线)与衬底支座270(虚线)或位于其间的传输面之间垂直移动衬底242”。热处理室211还可包括上部组件284。
另外,热处理室211可包括在热处理室221的一个或更多个内表面上形成的保护阻挡层212。保护阻挡层212可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层222。
而且,热处理室211、化学处理室221和热绝缘组件230定义了一个共用的开口294,衬底可通过其传输。在处理中,共用开口294可用闸门阀组件296封闭,从而允许在两个室211、221内进行独立处理。
此外,可在热处理室211内形成传输开口298,以允许衬底如图1所示的与传输系统的衬底交换。可运用第二热绝缘组件231以使热处理室221与传输系统(未示出)之间绝热。虽然图中所示开口298为热处理室211的一部分(与图1一致),但传输开口298可在化学处理室221而不是热处理室211中形成(室的位置与图1相反)。
而且,闸门阀组件296、共用开口294和/或传输开口298的暴露表面可具有保护阻挡层(未示出)。保护阻挡层可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层。
如图2和图3所示,化学处理系统220可包括衬底支座240和衬底支座组件244,从而为热控制和处理衬底242提供若干操作功能。衬底支座240和衬底支座组件244可包括静电夹紧系统(或机械夹紧系统),从而以电方式(或机械方式)将衬底242与衬底支座240夹紧。例如,夹紧系统可包括含PTFE和/或TFE的顶表面。
此外,衬底支座240还可例如包括具有再循环冷却剂流的冷却系统,该系统接收来自衬底支座240的热并将其传输至热交换系统(未示出),或当加热时,传输来自热交换系统的热。而且,可例如通过背面气体系统将传热气体送至衬底242的背面,从而提高衬底242与衬底支座240之间气隙的导热性。例如,供至衬底242背面的传热气体可包括惰性气体,例如氦气、氩气、氙气、氪气;处理气体,例如CF4、C4F8、C5F8、C4F6等;或其它气体,例如氧气、氮气或氢气。当需要在高温或低温下对衬底进行温度控制时,可使用这样的系统。例如,背面气体系统可包括例如两区(中心-边缘)系统的多区气体分配系统,其中背面气隙压力可在衬底242中心与边缘之间独立变化。在其它实施方式中,例如电阻加热元件或热-电加热器/冷却器的加热/冷却元件可包含于化学处理室221的衬底支座240以及室壁中。
图7示出了具有几种上述功能的温度受控衬底支座300的一种实施方式。衬底支座300可包括连接至化学处理室221的下壁的室配合构件310、连接至室配合构件310的绝缘构件312以及连接至绝缘构件312的温度控制构件314。室配合构件310与温度控制构件314可由例如铝、不锈钢、镍等的导电和导热材料制成。绝缘构件312可由例如石英、矾土、TFE、PTFE等的具有较低导热率的热阻材料制成。
另外,室配合构件310与温度控制构件314可包括在其一个或更多个外表面形成的保护阻挡层311与315。绝缘构件312也可包括在其一个或更多个外表面形成的保护阻挡层313。保护阻挡层311、313和315都可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层311、313和315中的一种或多种。
温度控制构件314可包括温度控制元件,例如冷却通道、加热通道、电阻加热元件或热电元件。例如,如图7所示,温度控制构件314可包括具有冷却剂入口322和冷却剂出口324的冷却剂通道320。冷却剂通道320可例如是温度控制构件314内的螺旋通路,允许例如水、Fluorinet、Galden HT-135等的冷却剂流通过,从而提供温度控制构件314的传导-对流冷却。或者,温度控制构件314可包括一组热电元件,能够依赖于电流流过各个元件的方向对衬底进行加热或冷却。热电元件的一个例子是可从Advanced Thermoelectric购得的型号为ST-127-1.4-8.5M的元件(尺寸为40×40×3.4mm、最大传热功率为72W的热电器件)。
此外,衬底支座300还可包括静电夹紧装置(ESC)328,该装置包括陶瓷层330、嵌入陶瓷层330中的夹紧电极332以及通过电连接336与夹紧电极332相耦合的高电压(HV)DC电压源334。ESC328可例如是单极或双极的。设计与实施这样的夹紧装置对静电夹紧系统领域的技术人员来说是已知的。在一种实施方式中,可在衬底支座的上表面上形成保护阻挡层243。保护阻挡层243可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层243。
另外,衬底支座300还可包括背面气体供给系统340,用于将传热气体(例如惰性气体,包括氦气、氩气、氙气、氪气;处理气体,包括CF4、C4F8、C5F8、C4F6等;或其它气体,包括氧气、氮气或氢气)通过至少一条气体供给线342供给至衬底242的背面。背面气体供给系统340可为多区供给系统,例如两区(中心-边缘)系统,其中背面压力可从中心至边缘径向变化。
绝缘构件312还可包括热绝缘间隙350,从而在温度控制构件314与下面的配合构件310之间提供附加的热绝缘。可用泵系统(未示出)或作为真空泵系统250一部分的真空管线将热绝缘间隙350抽真空,和/或将热绝缘间隙350连接至气体源(没有示出),从而改变其导热率。气体源可例如是背面气体供给系统340,用于将传热气体连接到衬底242的背面。
配合构件310还可包括升降钉组件360,该升降钉组件360能升高或降低三个或更多升降钉362的升降针,从而将衬底242垂直移动至处理系统的衬底支座300的上表面与传输面以及从所述的面开始垂直移动。。
每个构件310、312和314还可包括紧固装置(例如螺栓和螺孔),从而使构件之间彼此固定以及将衬底支座300固定于化学处理室221。而且,每个构件310、312和314便于各个构件的上述使用,当需要将处理系统保持真空完整性时,可使用例如弹性O型圈的真空密封件。
可用例如热电偶(例如K型热电偶,Pt传感器等)的温度传感装置344来监测温度受控衬底支座240的温度。而且,控制器可利用温度测量作为至衬底支座组件244的反馈来控制衬底支座240的温度。例如,可对流体流率、流体温度、传热气体类型、传热气体压力、夹紧力、电阻加热元件的电流或电压、热电装置电流或极性等中的至少一个进行调节,来引起衬底支座240的温度变化。
参见图2和3,化学处理系统220可包括具有气体分配系统的上部组件260。
在图8A和8B(图8A的放大图)所示的实施方式中,用于分配可包含至少两种气体的处理气体的气体分配系统420包括:气体分配组件424;连接至气体分配组件424的第一气体分配板430,被配置来将第一气体与化学处理室221的处理空间相连;以及连接至第一气体分配板430的第二气体分配板432,被配置来将第二气体与化学处理室221的处理空间相连。当第一气体分配板430连接至气体分配组件424时,形成第一气体分配充气空间440。另外,当第二气体分配板432连接至第一气体分配板430时,形成第二气体分配充气空间442。虽然并未示出,气体分配充气空间440、442可包括一个或更多个气体分配挡板。第二气体分配板432还可包括:一个或更多个孔444的第一阵列,其与第一气体分配板430内形成的一个或更多个通路446的阵列相连并与之相对应;以及一个或更多个孔448的第二阵列。与一个或更多个通道446的阵列结合的一个或更多个孔444的第一阵列被配置来将来自第一气体分配充气空间440的第一气体分配至化学处理室221的处理空间。一个或更多个孔448的第二阵列被配置来将来自第二气体分配充气空间442的第二气体分配至化学处理室221的处理空间。处理气体可例如包括NH3、HF、H2、O2、CO、CO2、Ar、He等。每个孔444、448具有直径和长度,其中直径可为约0.1mm至约10cm,长度可为约0.5mm至约5cm。另外,每一个孔可具有在暴露于处理空间的一个或更多个表面上的保护阻挡层261。保护阻挡层261可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层261。作为这种设置的结果,第一气体和第二气体被独立地引入处理空间,而只在处理空间内发生相互作用。
参见图2和3,化学处理系统220还可包括保持在高温下的温度受控化学处理室221。例如,壁温控制元件266可与壁温控制单元268相连,壁温控制元件266可被配置成与化学处理室221相连。温度控制元件可例如包括电阻加热元件和/或冷却元件。可用例如热电偶(例如K型热电偶,Pt传感器等)的温度传感装置来监测化学处理室221的温度。而且,控制器可利用温度测量作为至壁温控制单元268的反馈来控制化学处理室221的温度。
参见图3,化学处理系统220还可包括上部组件260,上部组件260可包含温度受控气体分配系统,可用来将上部组件和/或处理气体保持在选定温度。例如,温度控制元件267可连接至气体分配系统温度控制单元269,温度控制元件267可被配置成与气体分配系统260相连。温度控制元件可例如包括电阻加热元件和/或冷却元件。可用例如热电偶(例如K型热电偶,Pt传感器等)的温度传感装置来监测上部组件和/或处理气体的温度。而且,控制器可利用温度测量作为至气体分配系统温度控制单元269的反馈来控制上部组件和/或处理气体的温度。
仍然参见图2和图3,真空泵系统250可包括真空泵252和用于调节室压力的闸门阀254。真空泵252可例如包括泵速可至5000升每秒(及更大)的涡轮分子真空泵(TMP)。例如,TMP可为Seiko STP-A803真空泵或Ebara ET1301W真空泵。TMP可用于通常压力小于约50mTorr的低压处理。对于高压(即,大于约100mTorr)或低处理量的处理(即,没有气流),可使用机械增压泵和干粗抽泵。
参见图3,化学处理系统220还可包括具有微处理器、存储器和数字I/O端口的控制器235,其能够生成控制电压,该控制电压传输和激活化学处理系统220的输入以及监测化学处理系统220(例如温度和压力传感装置)的输出。而且,控制器235可与衬底支座组件244、气体分配系统260、真空泵系统250、闸门阀组件296、壁温控制单元268和气体分配系统温度控制单元269相连并与其交换信息。例如,可利用存储器中存储的程序来激活根据工艺配方的至化学处理系统220的前述构件的输入。控制器235的一个例子为可从美国Texas州Austin的Dell公司购得的DELLPRECISION WORKSTATION 610TM
在一个实施例中,图4所示的化学处理室1220还包括带手柄1223的盖1222、至少一个卡扣1224、至少一个折叶1227、可视窗口1225和至少一个压力传感装置1226。
可视窗口1225可包括视窗(未示出)和可将视窗连接至室壁的视窗法兰(未示出)。光学监测系统202可监测从处理空间通过可视窗口1225发射的光。例如,可使用光电二极管、光电倍增管、CCD、CID或其它固态检测器。然而,也可使用其它能分析发射光的光学装置。监测系统202可为控制器提供信息以在处理过程之前、期间或之后调节室条件。在另一种实施方式中,光学监测系统202还可包括例如激光的光源。
使用光学监测系统的监测系统构件状态包括测定由系统构件反射的光学信号的强度水平是否超过了阈值,确定是否需要对系统构件进行清洗和/或更换,并据此来继续或停止工艺。
例如,可通过监测从沉积在系统构件表面上的材料的光发射,在等离子体工艺中确定系统构件的状态。确定系统构件上污染材料状态的一个可行方法是用发射光谱(OES)来监测一种或更多种材料的反射率特性变化的波长范围。在处理过程中,材料可涂布在系统构件上,并可通过监测沉积材料的光学特性来确定材料的厚度,可在等离子体工艺中对这些光学特性进行监测。当光学特性超过规定阈值时,可确定是否清洁系统构件,并据此继续或停止工艺。
如图2和图5所示,热处理系统210还可包括温度受控衬底支座270。衬底支座270包括用热阻挡层274与热处理室211绝热的基座272。例如,衬底支座270可由铝、不锈钢或镍制成,热阻挡层274可由例如PTFE、TFE、矾土或石英的热绝缘体制成。衬底支座270还可包括嵌入其中的温度控制元件276和与其连接的衬底支座温度控制单元278。温度控制元件276可例如包括电阻加热元件和/或冷却元件。
可用例如热电偶(例如,K型热电偶)的温度传感装置或光纤温度计来监测衬底支座270的温度。此外,控制器275可利用温度测量作为至衬底支座温度控制单元278的反馈,来控制衬底支座270的温度。
参见图5,热处理系统210还可包括保持在选定温度下的温度受控热处理室211。例如,热壁控制元件283可连接至热壁温度控制单元281,热壁控制元件283可连接至热处理室211。控制元件可例如包括例如钨、镍铬合金、铝铁合金或氮化铝丝的电阻加热元件。或者或此外,冷却元件也可用于热处理室211。可用例如热电偶(例如,K型热电偶、Pt传感器等)的温度传感装置来监测热处理室211的温度。而且,控制器275可利用温度测量作为至热壁温度控制单元281的反馈,来控制热处理室211的温度。
参见图5,热处理系统210还可包括保持在选定温度的温度受控上部组件284。例如,上部组件温度控制元件285可连接至上部组件温度控制单元286,上部组件温度控制元件285可连接至上部组件284。温度控制元件可例如包括例如钨、镍铬合金、铝铁合金或氮化铝丝的电阻加热元件。可用例如热电偶(例如,K型热电偶、Pt传感器等)的温度传感装置来监测上部组件284的温度。而且,控制器275可利用温度测量作为至上部组件温度控制单元286的反馈,来控制上部组件284的温度。或者或此外,上部组件284可以包括冷却元件。
参见图2和图5,热处理系统210还可包括衬底升降组件290和驱动系统530。衬底升降组件290可被配置成将衬底242’降低至衬底支座270的上表面,以及将衬底242”从衬底支座270的上表面升高至支撑面或位于其间的传输面。在传输面上,衬底242”可与传输系统进行交换,该传输系统用来将衬底传入和传出化学和热处理室221、211。在支撑面上,衬底242”可以被冷却,同时另一个衬底在传输系统与化学和热处理室221、211之间交换。
如图9所示,衬底升降组件290可包括具有三个或更多个舌片510的叶片500、用于将衬底升降组件290连接至热处理室211的法兰520和用于在热处理室211内垂直移动叶片500的驱动系统530。舌片510被配置成在升高的位置上抓紧衬底242”,并当处于较低位置时,舌片510凹入343衬底支座270内形成的接受空腔540(图5)中。驱动系统530可例如是气动驱动系统,其被设计来满足各种技术要求,包括气缸冲程长度、气缸冲程速度、位置精度、非旋转精度等,这对气动驱动系统领域的技术人员来说是已知的。
而且,可在叶片500的一个或更多个表面上形成保护阻挡层512。保护阻挡层512可由与前述保护阻挡层241相同的材料制成且具有与之相同的厚度。或者,不需要保护阻挡层512。
参见图2和图5,热处理系统210还可包括真空泵系统280。真空泵系统280可例如包括真空泵和例如闸门阀或蝶阀的节流阀。真空泵可例如包括泵速可至5000升每秒(及更大)的涡轮分子真空泵(TMP)。TMP可用于通常压力小于约50mTorr的低压处理。对于高压处理(即,大于约100mTorr),可使用机械增压泵和干粗抽泵。
参见图5,热处理系统210还可包括具有微处理器、存储器和数字I/O端口的控制器275,其能够生成控制电压,该控制电压传输和激活至热处理系统210的输入以及监测热处理系统210的输出。而且,控制器275可与衬底支座温度控制单元278、上部组件温度控制单元286、上部组件284、热壁温度控制单元281、真空泵系统280和衬底升降组件290相连并与其交换信息。例如,可利用存储器中存储的程序来激活根据工艺配方的的到热处理系统210的前述构件的输入。控制器275的一个例子为可从美国Texas州Austin的Dell公司购得的DELL PRECISION WORKSTATION610TM
在另一个实施方式,控制器235和275可以是相同的控制器。
作为一个例子,图6所示的热处理系统2210还包括带手柄2213的盖2212、至少一个折叶2214、可视窗口2215、至少一个压力传感装置2216、至少一个校准装置2235和至少一个紧固装置2236。此外,热处理系统2210还可包括衬底检测系统2217,用于确定衬底是否定位在支撑面上。衬底检测系统可例如包括Keyence数字激光传感器。
在一个实施例中,如图2所示的处理系统200可以是用于修整氧化物硬掩膜的化学氧化物去除(COR)系统。处理系统200可包括化学处理系统220,用于化学处理衬底上的暴露的表面层(例如氧化物表面层),从而暴露表面上的工艺化学物质的吸附对影响表面层化学变化起作用。此外,处理系统200可包括用于对衬底进行热处理的热处理系统210,从而升高衬底的温度以解吸(或蒸发)衬底上的已发生化学变化的暴露表面层。
在化学处理系统220中,处理空间262(见图2)被抽真空,并引入含HF和NH3的处理气体。处理压力可为约1至约100mTorr,或约2至约25mTorr。处理气体流率对于每种物质可为约1至约200sccm,或约10至约100sccm。尽管图2和图3所示的真空泵系统250是从侧面与化学处理室221相通,但可获得均匀(三维)的压力场。表1列出了衬底表面压力均匀性的相关度,其为处理压力和气体分配系统260与衬底242上表面之间的间距的函数。
表1
Figure C200480038035D00201
另外,化学处理室221可被加热至约30℃至约100℃的温度。例如温度可为约40℃。此外,气体分配系统可被加热至约40℃至约100℃的温度。例如温度可为50℃。衬底可保持在约10℃至约50℃的温度。例如,衬底温度可为约20℃。
在热处理系统210中,热处理室211可被加热至约50℃至约100℃的温度。例如温度可为约80℃。此外,上部组件可被加热至约50℃至约100℃的温度。例如温度可为约80℃。衬底可被加热至高于约100℃的温度。例如温度可为约100℃至约200℃。或者,衬底温度可为约135℃。
本文所述的化学处理和热处理可提供:对于热氧化物,暴露氧化物表面层的蚀刻量大于约10纳米/60秒的化学处理;对于热氧化物,暴露氧化物表面层的蚀刻量大于约25纳米/180秒的化学处理;对于臭氧TEOS,暴露氧化物表面层的蚀刻量大于约10纳米/180秒的化学处理。这些处理还可使整个衬底的蚀刻偏差小于约2.5%。
虽然上文只是描述了本发明的某些实施方式,但是本领域的技术人员很容易意识到可在不本质上脱离本发明的新教导和优点的前提下,对这些实施方式进行许多改进。因此,所有这种改进均落入本发明的保护范围。

Claims (30)

1.用于处理衬底的减少维护处理系统,包括:
用于使所述衬底上的暴露表面层发生化学变化的化学处理系统,所述化学处理系统包括温度受控化学处理室,所述化学处理室具有在至少部分内表面上形成的保护阻挡层;
用于对所述衬底上的已发生化学变化的表面层进行热处理的热处理系统,所述热处理系统包括温度受控热处理室,所述热处理室具有在至少部分内表面上形成的保护阻挡层;
连接在所述热处理系统与所述化学处理系统之间的第一热绝缘组件,所述第一热绝缘组件定义了共用开口,用于在所述化学处理室与所述温度受控热处理室之间传输所述衬底;和
连接至所述热处理室的第二热绝缘组件,所述第二热绝缘组件具有用于传输所述衬底的传输开口,
其中所述化学处理系统或所述热处理系统的至少部分内表面上的所述保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
2.如权利要求1所述的处理系统,其中所述第一和第二热绝缘组件在至少一个暴露表面上包括保护阻挡层。
3.如权利要求1所述的处理系统,其中:
所述化学处理系统还包括安装在所述化学处理室内并具有形成在至少部分暴露表面上的保护阻挡层的温度受控衬底支座、连接至所述化学处理室的真空泵系统和包括多个气体注入孔的气体分配板,所述气体分配板的至少部分暴露表面和每个孔的至少部分暴露表面上形成有保护阻挡层,其中所述气体分配板连接至温度受控气体分配系统,用于将处理气体引入所述化学处理室;
所述热处理系统还包括安装在所述热处理室内并具有形成在至少部分暴露表面上的保护阻挡层的温度受控衬底支座和连接至所述热处理室的真空泵系统;
所述处理系统还包括连接至所述化学处理系统和所述热处理系统的控制系统,所述控制系统配置来控制至少下列之一:化学处理室温度、化学处理气体分配系统温度、化学处理衬底支座温度、化学处理衬底温度、化学处理处理压力、化学处理气体流率、热处理室温度、热处理衬底支座温度、热处理衬底温度、热处理处理压力和热处理气体流率。
4.如权利要求1所述的处理系统,其中所述化学处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
5.如权利要求4所述的处理系统,其中所述化学处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的硬阳极化金属。
6.如权利要求1所述的处理系统,其中所述化学处理系统还包括温度受控衬底支座,所述衬底支座具有形成在其至少一部分上的保护阻挡层,所述安装在化学处理室内的温度受控衬底支座上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
7.如权利要求1所述的处理系统,其中所述化学处理系统还包括温度受控衬底支座,所述衬底支座具有形成在其至少一部分上的保护阻挡层,所述安装在化学处理室内的温度受控衬底支座上的保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
8.如权利要求1所述的处理系统,其中所述化学处理系统还包括具有多个气体注入孔的气体分配板,所述气体分配板的至少部分暴露表面和每个孔的至少部分暴露表面上形成有保护阻挡层,其中所述气体分配板连接至温度受控气体分配系统,用于将处理气体引入所述化学处理室,所述气体分配板上的保护阻挡层和每个孔上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
9.如权利要求8所述的处理系统,其中所述气体分配板的暴露表面上的保护阻挡层和每个孔的暴露表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的硬阳极化金属。
10.如权利要求1所述的处理系统,其中所述化学处理系统还包括具有多个气体注入孔的气体分配板,所述气体分配板的至少部分暴露表面和每个孔的至少部分暴露表面上形成有保护阻挡层,其中所述气体分配板连接至温度受控气体分配系统,用于将处理气体引入所述化学处理室,所述气体分配板的暴露表面上的保护阻挡层和每个孔的暴露表面上的保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
11.如权利要求1所述的处理系统,其中所述温度受控热处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
12.如权利要求11所述的处理系统,其中所述温度受控热处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的硬阳极化金属。
13.如权利要求1所述的处理系统,其中所述热处理系统还包括温度受控衬底支座,所述衬底支座安装在所述热处理室内并且在至少部分暴露表面上形成有保护阻挡层,所述安装在温度受控热处理室内的温度受控衬底支座的暴露表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
14.如权利要求1所述的处理系统,其中所述热处理系统还包括温度受控衬底支座,所述衬底支座安装在所述热处理室内并且在至少部分暴露表面上形成有保护阻挡层,所述安装在温度受控热处理室内的温度受控衬底支座的暴露表面上的保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
15.如权利要求1所述的处理系统,其中所述第一热绝缘组件包括闸门阀组件,其中在所述闸门阀组件的至少部分暴露表面上形成有保护阻挡层。
16.如权利要求15所述的处理系统,其中所述门阀装置暴露表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
17.如权利要求16所述的处理系统,其中所述门阀装置暴露表面上的保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
18.如权利要求8所述的处理系统,其中所述处理气体包括NH3、HF、H2、O2、CO、CO2、Ar、He和N2中的至少一种。
19.如权利要求1所述的处理系统,其中所述热处理系统还包括连接至所述热处理室的衬底升降组件,用于在传输面与所述衬底支座之间垂直移动所述衬底。
20.如权利要求19所述的处理系统,其中所述衬底升降组件包括具有三个或更多个舌片的叶片以及驱动系统,所述叶片用于接受衬底并具有形成在至少部分暴露表面上的保护阻挡层,所述驱动系统用于在所述衬底支座与传输面之间垂直移动所述衬底。
21.如权利要求20所述的处理系统,其中所述叶片的至少一个暴露表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
22.如权利要求20所述的处理系统,其中所述叶片的至少一个暴露表面上的保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
23.用于使衬底上的暴露表面层发生化学变化的化学处理系统,包括:
具有形成在至少部分内表面上的保护阻挡层的温度受控化学处理室;
安装在所述化学处理室内的温度受控衬底支座;
连接至所述化学处理室的真空泵系统;
包括多个气体注入孔的气体分配板,所述气体分配板连接至温度受控气体分配系统,用于将处理气体引入所述化学处理室;
连接至所述温度受控化学处理室的第一热绝缘组件,所述第一热绝缘组件具有第一开口,用于在所述温度受控化学处理室与温度受控热处理室之间传输所述衬底;和
连接至所述温度受控化学处理室的第二热绝缘组件,所述第二热绝缘组件具有传输开口,用于在所述温度受控化学处理室与传输系统之间传输所述衬底,
其中所述化学处理室的至少部分内表面上的所述保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
24.如权利要求23所述的化学处理系统,其中所述化学处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
25.如权利要求24所述的化学处理系统,其中所述化学处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的硬阳极化金属。
26.如权利要求23所述的化学处理系统,其中所述衬底支座具有形成在至少部分暴露表面上的保护阻挡层。
27.如权利要求23所述的化学处理系统,其中在所述气体分配板的至少部分暴露表面上和每个孔的至少部分暴露表面上形成有保护阻挡层。
28.用于热处理衬底上已发生化学变化的表面层的热处理系统,所述热处理系统包括:
具有形成在至少部分内表面上的保护阻挡层的温度受控热处理室;
安装在所述热处理室内的温度受控衬底支座;
连接至所述热处理室的真空泵系统;
连接至所述热处理室的温度受控上部组件;
连接至所述温度受控热处理室的第一热绝缘组件,所述第一热绝缘组件具有第一开口,用于在所述温度受控热处理室与温度受控化学处理室之间传输所述衬底;和
连接至所述温度受控热处理室的第二热绝缘组件,所述第二热绝缘组件具有传输开口,用于在所述温度受控热处理室与传输系统之间传输所述衬底,
其中所述热处理室的至少部分内表面上的所述保护阻挡层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
29.如权利要求28所述的热处理系统,其中所述热处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的阳极化金属。
30.如权利要求29所述的热处理系统,其中所述热处理室内表面上的保护阻挡层包括用聚四氟乙烯和/或四氟乙烯浸渍的硬阳极化金属。
CNB2004800380359A 2003-12-17 2004-11-09 化学氧化物去除系统和方法 Expired - Fee Related CN100511576C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/736,991 2003-12-17
US10/736,991 US20040182315A1 (en) 2003-03-17 2003-12-17 Reduced maintenance chemical oxide removal (COR) processing system

Publications (2)

Publication Number Publication Date
CN1898772A CN1898772A (zh) 2007-01-17
CN100511576C true CN100511576C (zh) 2009-07-08

Family

ID=34710473

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800380359A Expired - Fee Related CN100511576C (zh) 2003-12-17 2004-11-09 化学氧化物去除系统和方法

Country Status (5)

Country Link
US (2) US20040182315A1 (zh)
JP (2) JP2007515077A (zh)
KR (3) KR20060126977A (zh)
CN (1) CN100511576C (zh)
WO (1) WO2005062336A2 (zh)

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1059878B1 (en) 1998-03-05 2005-11-09 Gil M. Vardi Optical-acoustic imaging device
US7245789B2 (en) 2002-10-07 2007-07-17 Vascular Imaging Corporation Systems and methods for minimally-invasive optical-acoustic imaging
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
KR100830745B1 (ko) 2005-09-14 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치, cor 처리 모듈 및 기판 리프트 장치
US7599588B2 (en) 2005-11-22 2009-10-06 Vascular Imaging Corporation Optical imaging probe connector
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
SG174771A1 (en) * 2006-06-09 2011-10-28 Soitec Silicon On Insulator High volume delivery system for gallium trichloride
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
JP5244814B2 (ja) 2006-11-22 2013-07-24 ソイテック 化学気相成長チャンバ用の温度制御されたパージゲート弁を使用した方法、アセンブリ及びシステム
US8197597B2 (en) 2006-11-22 2012-06-12 Soitec Gallium trichloride injection scheme
US8382898B2 (en) 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
EP2066496B1 (en) * 2006-11-22 2013-04-10 Soitec Equipment for high volume manufacture of group iii-v semiconductor materials
WO2008064080A1 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
JP2008251742A (ja) * 2007-03-29 2008-10-16 Tokyo Electron Ltd 基板処理装置及びフォーカスリングを載置する基板載置台
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
CN102105312B (zh) * 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
EP2356412B1 (en) 2008-10-02 2012-08-15 Vascular Imaging Corporation Optical ultrasound receiver
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5809144B2 (ja) * 2010-08-03 2015-11-10 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2012174819A (ja) * 2011-02-21 2012-09-10 Sokudo Co Ltd 熱処理装置および熱処理方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI674625B (zh) * 2011-10-05 2019-10-11 應用材料股份有限公司 原位羥化裝置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6239339B2 (ja) * 2013-10-17 2017-11-29 東京エレクトロン株式会社 エッチング装置、エッチング方法、および基板載置機構
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102168172B1 (ko) 2014-05-23 2020-10-20 삼성전자주식회사 반도체 소자의 제조 방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11031252B2 (en) * 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102239116B1 (ko) * 2019-08-07 2021-04-09 세메스 주식회사 기판처리장치
CN114555854A (zh) * 2019-11-11 2022-05-27 韩商则舒穆公司 用于制造oled的掩模以及oled制造方法
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2981243B2 (ja) * 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
JP3158829B2 (ja) * 1993-12-31 2001-04-23 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JPH07335602A (ja) * 1994-06-06 1995-12-22 Dainippon Screen Mfg Co Ltd 基板の表面処理方法及び表面処理装置
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
WO1997020179A1 (fr) * 1995-11-30 1997-06-05 Komatsu Ltd. Systeme de regulation multi-temperature du type a dispersion et dispositif de regulation de temperature de fluide applicable a ce systeme
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
US5922219A (en) * 1996-10-31 1999-07-13 Fsi International, Inc. UV/halogen treatment for dry oxide etching
US6026896A (en) * 1997-04-10 2000-02-22 Applied Materials, Inc. Temperature control system for semiconductor processing facilities
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
JP2000100781A (ja) * 1998-09-18 2000-04-07 Miyazaki Oki Electric Co Ltd エッチング装置および半導体デバイスの製造方法
US6228173B1 (en) 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
WO2000070116A1 (en) * 1999-05-19 2000-11-23 Vosen Steven R Low pressure stagnation flow reactors with a flow barrier
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP4042350B2 (ja) * 2001-05-30 2008-02-06 株式会社日立国際電気 基板支持装置
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003121023A (ja) * 2001-10-10 2003-04-23 Tokyo Electron Ltd 熱媒体循環装置及びこれを用いた熱処理装置
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP2002222803A (ja) * 2001-12-03 2002-08-09 Kyocera Corp 半導体製造用耐食性部材
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
KR20040072581A (ko) * 2004-07-29 2004-08-18 (주)제이씨 프로텍 전자기파 증폭중계기 및 이를 이용한 무선전력변환장치

Also Published As

Publication number Publication date
CN1898772A (zh) 2007-01-17
US20040182315A1 (en) 2004-09-23
KR20130009877A (ko) 2013-01-23
WO2005062336A3 (en) 2005-11-17
KR20060126977A (ko) 2006-12-11
US20090226633A1 (en) 2009-09-10
US8409399B2 (en) 2013-04-02
KR101374332B1 (ko) 2014-03-25
JP2011176365A (ja) 2011-09-08
JP2007515077A (ja) 2007-06-07
KR20120091380A (ko) 2012-08-17
WO2005062336A2 (en) 2005-07-07

Similar Documents

Publication Publication Date Title
CN100511576C (zh) 化学氧化物去除系统和方法
CN100446209C (zh) 利用分压调节化学氧化物去除工艺的方法和系统
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
JP5555743B2 (ja) 基板を化学的処理する処理システムおよび方法
CN1841214B (zh) 在抗蚀剂剥离室中从衬底上除去抗蚀剂的方法
JP2001501379A (ja) パーティクル制御方法及びプラズマ処理チャンバー
US11694902B2 (en) Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
WO2024049699A1 (en) Nitride thermal atomic layer etch

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090708

Termination date: 20161109