CN100446209C - 利用分压调节化学氧化物去除工艺的方法和系统 - Google Patents

利用分压调节化学氧化物去除工艺的方法和系统 Download PDF

Info

Publication number
CN100446209C
CN100446209C CNB2005800099548A CN200580009954A CN100446209C CN 100446209 C CN100446209 C CN 100446209C CN B2005800099548 A CNB2005800099548 A CN B2005800099548A CN 200580009954 A CN200580009954 A CN 200580009954A CN 100446209 C CN100446209 C CN 100446209C
Authority
CN
China
Prior art keywords
reactant
substrate
gas
amount
potential drop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005800099548A
Other languages
English (en)
Other versions
CN1938840A (zh
Inventor
岳红宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1938840A publication Critical patent/CN1938840A/zh
Application granted granted Critical
Publication of CN100446209C publication Critical patent/CN100446209C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Treating Waste Gases (AREA)

Abstract

公开了一种用于修整衬底上的特征的方法和系统。在衬底的化学处理期间,在受控条件下将衬底暴露于反应性气相化学物质,如HF/NH3。也可引入具有反应气相化学的惰性气体。开发出与第一反应物、第二反应物和可选惰性气体有关的工艺模型。在指定目标修整量后,利用工艺模型来确定用于实现指定目标的工艺配方。

Description

利用分压调节化学氧化物去除工艺的方法和系统
相关申请的交叉引用
该PCT申请基于并要求2004年3月30日提交的美国非临时专利申请号10/812,355的优先权,其内容通过引用全部包含于本文中。
该申请还与以下申请有关:2003年11月12日提交的题为“ProcessingSystem and Method for Treating a Substrate”的美国专利申请序列号10/705,201;2003年11月12日提交的题为“Processing System and Methodfor Chemically Treating a Substrate”的未决美国专利申请序列号10/705,200;2003年11月12日提交的题为“Processing System and Methodfor Thermally Treating a Substrate”的未决美国专利申请序列号10/704,969;2003年11月12日提交的题为“Method and Apparatus forThermally Insulating Adjacent Temperature Controlled Chambers”的未决美国专利申请序列号10/705,397;和2004年3月30日提交的题为“Processing System and Method for Treating a Substrate”的未决美国专利申请序列号10/812,347。所有这些申请的全部内容通过引用包含于本文中。
技术领域
本发明涉及用于处理衬底的方法和系统,更具体而言,涉及用于衬底的化学处理的系统和方法。
背景技术
在半导体处理过程中,可利用(干法)等离子体蚀刻工艺来去除或蚀刻沿细线或图案化在硅衬底上的过孔或触点内的材料。等离子体蚀刻工艺通常包括将具有上覆的图案化保护层(例如光刻胶层)的半导体衬底定位在处理室内。衬底定位到室内之后,以预定流率将可离子化的、离解的气体混合物引入室内,同时节流真空泵以获得环境处理压强。此后,当电子将存在的部分气体物质(species)离子化时,形成等离子体,其中电子是电感性或电容性通过传输射频(RF)功率,或例如利用电子回旋加速器共振(ECR)产生的微波功率来加热的。而且,受热电子用来离解某些种类的环境气体物质,并生成适于暴露表面蚀刻化学处理的反应物物质。形成等离子体后,选定的衬底表面就被等离子体蚀刻。调节此工艺至合适的条件,包括适当浓度的所需反应物和离子的量,从而在衬底的选定区域蚀刻各种特征(例如,沟槽、过孔、触点、栅极等)。这种需要蚀刻的衬底材料包括二氧化硅(SiO2)、低k介电材料、多晶硅和氮化硅。
在材料处理过程中,蚀刻这种特征通常包括将在掩模层内形成的图案传递给下面的膜,在该膜内形成各个特征。掩模可以例如包括光敏材料例如(负性或正性)光刻胶多层(包括光刻胶涂层和抗反射涂层(ARC)),或者掩模可以包括由将例如光刻胶的第一层中的图案传递给下面的硬掩模层而形成的硬掩模。
发明内容
本发明涉及用于处理衬底的方法和系统。
在本发明的一个方面,描述了一种用于在化学氧化物去除工艺中实现衬底上的特征的目标修整量的方法,包括:利用包括第一反应物、第二反应物和处理压强在内的工艺配方执行化学氧化物去除工艺,以在保持至少一个恒定参数恒定的同时获取作为可变参数的函数的修整量数据,其中可变参数是第一组参数中的一个,第一组参数包括第一反应物的量、第二反应物的量和处理压强,不同于可变参数的至少一个恒定参数是第二组参数中的一个,第二组参数包括第一反应物的量、第二反应物的量和处理压强;确定修整量数据和可变参数之间的关系;利用目标修整量数据和关系来确定可变参数的目标值;通过将衬底暴露于利用可变参数的目标值和至少一个恒定参数的工艺配方,来化学处理衬底上的特征;以及从特征基本去除目标修整量。
在一个优选实施例中,从所述特征基本去除所述修整量的步骤包括在所述化学处理之后在水溶液中冲洗所述衬底。
在本发明的另一个方面,给出了一种用于利用工艺配方执行化学氧化物去除工艺以实现衬底上的特征的目标修整量的方法,包括:确定修整量数据和工艺配方的某一气体物质的分压之间的关系;设置目标修整量;利用关系和目标修整量来确定该气体物质的分压的目标值;根据该气体物质的分压的目标值来调节工艺配方;以及通过将衬底暴露于工艺配方来化学处理衬底上的特征。
在本发明的另一个方面,给出了一种用于在化学氧化物去除工艺中实现衬底上的目标修整量的系统,包括:化学处理系统,其用于通过在一段暴露时间内将衬底暴露于工艺配方来改性衬底上的暴露表面层,工艺配方具有第一处理气体的量、第二处理气体的量、可选惰性气体的量和处理压强;热处理系统,其用于热处理衬底上的经化学改性的表面层;以及控制器,其耦合到化学处理系统,并且被配置成对于一个或多个恒定参数使用修整量和可变参数之间的关系,其中可变参数是第一组参数中的一个,第一组参数包括第一反应物的所述量、第二反应物的所述量、可选惰性气体的量和处理压强,并且不同于可变参数的一个或多个恒定参数是第二组参数中的一个,第二组参数包括第一反应物的量、第二反应物的量、可选惰性气体的量和处理压强。
附图说明
在附图中:
图1A图示了根据本发明实施例的用于化学处理系统和热处理系统的传输系统的示意图;
图1B图示了根据本发明另一实施例的用于化学处理系统和热处理系统的传输系统的示意图;
图1C图示了根据本发明另一实施例的用于化学处理系统和热处理系统的传输系统的示意图;
图2示出了根据本发明实施例的处理系统的示意性剖视图;
图3示出了根据本发明实施例的化学处理系统的示意性剖视图;
图4示出了根据本发明另一实施例的化学处理系统的透视图;
图5示出了根据本发明实施例的热处理系统的示意性剖视图;
图6示出了根据本发明另一实施例的热处理系统的透视图;
图7图示了根据本发明实施例的衬底支座的示意性剖视图;
图8图示了根据本发明实施例的气体分配系统的示意性剖视图;
图9A图示了根据本发明另一实施例的气体分配系统的示意性剖视图;
图9B给出了根据本发明实施例的图9A中所示的气体分配系统的放大图;
图10A和10B给出了根据本发明实施例的图9A中所示的气体分配系统的透视图;
图11示出了根据本发明实施例的衬底升降组件;
图12示出了根据本发明实施例的热绝缘组件的侧视图;
图13示出了根据本发明实施例的热绝缘组件的顶视图;
图14示出了根据本发明实施例的热绝缘组件的剖面侧视图;
图15示出了用于处理衬底的流程图;
图16给出了在化学氧化物去除工艺中对于某一压强修整量数据与反应气体比率之间的函数关系;
图17给出了在化学氧化物去除工艺中对于另一压强修整量数据与反应气体比率之间的函数关系;
图18给出了根据本发明一个实施例的化学氧化物去除工艺中的分压的工艺模型;
图19给出了根据本发明另一个实施例的化学氧化物去除工艺中的分压的工艺模型;以及
图20给出了根据本发明实施例的在化学氧化物去除工艺中实现目标修整量的方法。
具体实施方式
在材料处理方法中,图案蚀刻包括在衬底上表面上涂布光敏材料(例如光刻胶)薄层,随后将其图案化以提供用于在蚀刻时将此图案传递至下面薄膜的掩模。光敏材料的图案化通常包括利用例如微光刻系统由辐射源通过光罩(和相关光学器件)曝光光敏材料,然后利用显影溶液去除光敏材料的辐照区域(在正性光刻胶的情况下)或未辐照区域(在负性光刻胶的情况下)。
另外,可以使用多层和硬掩模来蚀刻薄膜中的特征。例如,当用硬掩模蚀刻薄膜中的特征时,采用在薄膜主要蚀刻步骤之前的单独的蚀刻步骤将光敏层中的掩模图案转移至硬掩模层。硬掩模可例如选自用于硅处理的几种材料,包括例如二氧化硅(SiO2)、氮化硅(Si3N4)或碳。
为了减小形成在薄膜中的特征尺寸,可用例如两步法对硬掩模进行侧面修整,该两步法包括硬掩模层暴露表面的化学处理以改性硬掩模层的表面化学,以及硬掩模层暴露表面的后处理以解吸改性的表面化学。
根据一个实施例,图1A示出了用于例如利用掩模层修整来处理衬底的处理系统1。处理系统1包括第一处理系统10和耦合到第一处理系统10的第二处理系统20。例如,第一处理系统10可包括化学处理系统,第二处理系统20可包括热处理系统。或者,第二处理系统20可包括衬底冲洗系统,例如水冲洗系统。另外,如图1A所示,传输系统30可耦合到第一处理系统10,以将衬底传入和传出第一处理系统10和第二处理系统20,并与多元制造系统40交换衬底。第一和第二处理系统10、20和传输系统30可例如包括多元制造系统40内的处理元件。例如,多元制造系统40可将衬底传入或传出处理元件,所述处理元件包括诸如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等之类的设备。为了隔离第一和第二系统中进行的工艺,可用隔离组件50耦合各个系统。例如,隔离组件50可包括提供热隔离的热绝缘组件和提供真空隔离的闸门阀组件中的至少之一。当然,处理系统10和20以及传输系统30可以任意次序布置。
或者,在另一个实施例中,图1B示出了用于利用诸如掩模层修整之类的工艺来处理衬底的处理系统100。处理系统100包括第一处理系统110和第二处理系统120。例如,第一处理系统110可包括化学处理系统,第二处理系统120可包括热处理系统。或者,第二处理系统120可包括衬底冲洗系统,例如水冲洗系统。另外,如图1B所示,传输系统130可耦合到第一处理系统110,以将衬底传入和传出第一处理系统110,并且可耦合到第二处理系统120,以将衬底传入和传出第二处理系统120。另外,传输系统130可与一个或多个衬底盒(未示出)交换衬底。尽管在图1B中只示出了两个处理系统,但是其他处理系统也可访问传输系统130,包括诸如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等之类的设备。为了隔离第一和第二系统中进行的工艺,可用隔离组件150耦合各个系统。例如,隔离组件150可包括提供热隔离的热绝缘组件和提供真空隔离的闸门阀组件中的至少之一。另外例如,传输系统130可充当隔离组件150的一部分。
或者,在另一个实施例中,图1C示出了用于利用诸如掩模层修整之类的工艺来处理衬底的处理系统600。处理系统600包括第一处理系统610和第二处理系统620,其中第一处理系统610在垂直方向上层叠于第二处理系统620的顶部,如图所示。例如,第一处理系统610可包括化学处理系统,第二处理系统620可包括热处理系统。或者,第二处理系统620可包括衬底冲洗系统,例如水冲洗系统。另外,如图1C所示,传输系统630可耦合到第一处理系统610,以将衬底传入和传出第一处理系统610,并且可耦合到第二处理系统620,以将衬底传入和传出第二处理系统620。另外,传输系统630可与一个或多个衬底盒(未示出)交换衬底。尽管在图1C中只示出了两个处理系统,但是其他处理系统也可访问传输系统630,包括诸如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等之类的设备。为了隔离第一和第二系统中进行的工艺,可用隔离组件650耦合各个系统。例如,隔离组件650可包括提供热隔离的热绝缘组件和提供真空隔离的闸门阀组件中的至少之一。另外例如,传输系统630可充当隔离组件650的一部分。
通常,图1A中所示的处理系统1的第一处理系统10和第二处理系统20的至少一个包括至少两个传输开口,以允许衬底通过其进行传输。例如,如图1A所示,第二处理系统20包括两个传输开口,第一传输开口允许衬底在第二处理系统20与传输系统30之间传输,第二传输开口允许衬底在第一处理系统与第二处理系统之间传输。然而,关于图1B中所示的处理系统100和图1C中所示的处理系统600,每个处理系统110、120和610、620分别包括至少一个传输开口以允许衬底通过其进行传输。
现参考图2,图2示出了用于对衬底进行化学处理和热处理的处理系统200。处理系统200包括化学处理系统210和耦合到化学处理系统210的热处理系统220。化学处理系统210包括可进行温度控制的化学处理室211。热处理系统220包括可进行温度控制的热处理室221。化学处理室211和热处理室221可用热绝缘组件230使彼此绝热,用闸门阀组件296使彼此真空隔离,这将在下文中更详细地描述。
如图2和3所示,化学处理系统210还包括被配置成与化学处理室211基本上绝热并且支撑衬底242的温度受控衬底支座240、耦合到化学处理室211以对化学处理室211进行抽真空的真空泵系统250和用于将处理气体引入到化学处理室211内的处理空间262中的气体分配系统260。
如图2和5所示,热处理系统220还包括安装在热处理室221内的被配置成与热处理室221基本上绝热并且支撑衬底242’的温度受控衬底支座270、用于对热处理室221进行抽真空的真空泵系统280和耦合到热处理室221的衬底升降组件290。升降组件290可在支撑面(实线)与衬底支座270(虚线)或位于其间的传输面之间垂直移动衬底242”。热处理室221还可包括上部组件284。
另外,化学处理室211、热处理室221和热绝缘组件230定义了一个共用的开口294,衬底可通过其传输。在处理中,共用开口294可用闸门阀组件296封闭,从而允许在两个室211、221内进行独立处理。此外,可在热处理室221内形成传输开口298,以允许衬底如图1A所示的与传输系统进行衬底交换。例如,可运用第二热绝缘组件231以使热处理室221与传输系统(未示出)之间绝热。虽然图中所示开口298为热处理室221的一部分(与图1A一致),但传输开口298可在化学处理室211而不是热处理室221中形成(室的位置与图1A相反),或者传输开口298既可在化学处理室211中形成,又可在热处理室221中形成(如图1B和1C所示)。
如图2和3所示,化学处理系统210包括衬底支座240和衬底支座组件244,从而为热控制和处理衬底242提供若干操作功能。衬底支座240和衬底支座组件244可包括静电夹紧系统(或机械夹紧系统),从而以电方式(或机械方式)将衬底242夹紧到衬底支座240。此外,衬底支座240还可例如包括具有再循环冷却剂流的冷却系统,该系统接收来自衬底支座240的热并将其传输至热交换系统(未示出),或当加热时,传输来自热交换系统的热。而且,可例如通过背面气体系统将传热气体送至衬底242的背面,从而提高衬底242与衬底支座240之间气隙的导热性。例如,供至衬底242背面的传热气体可包括惰性气体,例如氦气、氩气、氙气、氪气;处理气体;或其它气体,例如氧气、氮气或氢气。当需要在高温或低温下对衬底进行温度控制时,可使用这样的系统。例如,背面气体系统可包括诸如两区(中心-边缘)系统之类的多区气体分配系统,其中背面气隙压强可在衬底242中心与边缘之间独立变化。在其它实施例中,诸如电阻加热元件或热-电加热器/冷却器之类的加热/冷却元件可包含于化学处理室211的衬底支座240以及室壁中。
例如,图7示出了用于执行若干上述功能的温度受控衬底支座300。衬底支座300包括耦合到化学处理室211的下壁的室配合构件310、耦合到室配合构件310的绝缘构件312以及耦合到绝缘构件312的温度控制构件314。室配合构件310与温度控制构件314可由诸如铝、不锈钢、镍等之类的导电和导热材料制成。绝缘构件312可由诸如石英、矾土、聚四氟乙烯(Teflon)等之类的具有较低导热率的热阻材料制成。
温度控制构件314可包括温度控制元件,例如冷却通道、加热通道、电阻加热元件或热电元件。例如,如图7所示,温度控制构件314包括具有冷却剂入口322和冷却剂出口324的冷却剂通道320。冷却剂通道320可例如是温度控制构件314内的螺旋通路,允许例如水、Fluorinet、Galden HT-135等的冷却剂流通过,从而提供温度控制构件314的传导-对流冷却。或者,温度控制构件314可包括一组热电元件,能够依赖于电流流过各个元件的方向对衬底进行加热或冷却。热电元件的一个例子是可从Advanced Thermoelectric购得的型号为ST-127-1.4-8.5M的元件(尺寸为40×40×3.4mm、最大传热功率为72W的热电器件)。
另外,衬底支座300还可包括静电夹紧装置(ESC)328,该装置包括陶瓷层330、嵌入陶瓷层330中的夹紧电极332以及通过电连接336与夹紧电极332相耦合的高电压(HV)DC电压源334。ESC 328可例如是单极或双极的。设计与实施这样的夹紧装置对静电夹紧系统领域的技术人员来说是公知的。
另外,衬底支座300还可包括背面气体供给系统340,用于将传热气体(例如惰性气体,包括氦气、氩气、氙气、氪气;处理气体;或其它气体,包括氧气、氮气或氢气)通过至少一条气体供给线342和多个气孔和通道中的至少一个供给至衬底242的背面。背面气体供给系统340可例如为诸如两区(中心-边缘)系统之类的多区供给系统,其中背面压强可从中心至边缘径向变化。
绝缘构件312还可包括热绝缘间隙350,从而在温度控制构件314与下面的配合构件310之间提供附加的热绝缘。可用泵系统(未示出)或作为真空泵系统250一部分的真空管线将热绝缘间隙350抽真空,和/或将热绝缘间隙350耦合到气体源(没有示出),从而改变其导热率。气体源可例如是背面气体供给系统340,用于将传热气体连接到衬底242的背面。
配合构件310还可包括升降钉组件360,该升降钉组件360能升高或降低三个或更多升降钉362,从而将衬底242垂直移动至处理系统的衬底支座300的上表面与传输面以及从所述的面开始垂直移动。
每个构件310、312和314还可包括紧固装置(例如螺栓和螺孔),从而使构件之间彼此固定以及将衬底支座300固定于化学处理室211。而且,每个构件310、312和314便于各个构件的上述使用,当需要将处理系统保持真空完整性时,可使用诸如弹性O型圈之类的真空密封件。
可用诸如热电偶(例如K型热电偶,Pt传感器等)之类的温度传感装置344来监测温度受控衬底支座240的温度。而且,控制器可利用温度测量作为至衬底支座组件244的反馈来控制衬底支座240的温度。例如,可对流体流率、流体温度、传热气体类型、传热气体压强、夹紧力、电阻加热元件的电流或电压、热电装置电流或极性等中的至少一个进行调节,来引起衬底支座240和/或衬底242的温度变化。
参见图2和3,化学处理系统210包括气体分配系统260。在一个实施例中,如图8所示,气体分配系统400包括喷淋头气体注入系统,其具有气体分配组件402和耦合到气体分配组件402并且被配置成形成气体分配充气空间406的气体分配板404。尽管未示出,但是气体分配充气空间406可包括一个或多个气体分配挡板。气体分配板404还包括一个或多个气体分配孔408,以将来自气体分配充气空间406的处理气体分配至化学处理室211内的处理空间。另外,一条或多条气体供给线410、410’等可通过例如气体分配组件耦合到气体分配充气空间406,以提供包括一种或多种气体的处理气体。处理气体可例如包括NH3、HF、H2、O2、CO、CO2、Ar、He等。
在另一个实施例中,如图9A和9B(图9A的放大图)所示,用于分配包含至少两种气体的处理气体的气体分配系统420包括:具有一个或多个构件424、426和428的气体分配组件422;耦合到气体分配组件422的第一气体分配板430,其被配置来将第一气体耦合到化学处理室211的处理空间;以及耦合到第一气体分配板430的第二气体分配板432,被配置来将第二气体耦合到化学处理室211的处理空间。当第一气体分配板430耦合到气体分配组件422时,形成第一气体分配充气空间440。另外,当第二气体分配板432耦合到第一气体分配板430时,形成第二气体分配充气空间442。虽然并未示出,但是气体分配充气空间440、442可包括一个或多个气体分配挡板。第二气体分配板432还包括:一个或多个孔444的第一阵列,其耦合到第一气体分配板430内形成的一个或多个通路446的阵列并与之相对应;以及一个或多个孔448的第二阵列。与一个或多个通路446的阵列结合的一个或多个孔444的第一阵列被配置来将来自第一气体分配充气空间440的第一气体分配至化学处理室211的处理空间。一个或多个孔448的第二阵列被配置来将来自第二气体分配充气空间442的第二气体分配至化学处理室211的处理空间。处理气体可例如包括NH3、HF、H2、O2、CO、CO2、Ar、He等。作为这种设置的结果,第一气体和第二气体被独立地引入处理空间,而只在处理空间内发生相互作用。
如图10A所示,第一气体可通过在气体分配组件422内形成的第一气体供给通路450耦合到第一气体分配充气空间440。另外,如图10B所示,第二气体可通过在气体分配组件422内形成的第二气体供给通路452耦合到第二气体分配充气空间442。
参考图2和3,化学处理系统220还包括保持在高温下的温度受控化学处理室211。例如,壁加热元件266可耦合到壁温控制单元268,壁加热元件266可被配置成耦合到化学处理室211。加热元件可例如包括诸如钨、镍铬合金、铝铁合金或氮化铝等的丝之类的电阻加热元件。可购得的用于制作电阻加热元件的材料示例包括Kanthal、Nikrothal、Akrothal,这些都是由CT,Bethel的Kanthal Corporation生产的金属合金的注册商标名。Kanthal族包括铁素体合金(FeCrAl),Nikrothal族包括奥氏体合金(NiCr,NiCrFe)。当电流流经电阻丝时,功率耗散为热,因此,壁温控制单元268可例如包括可控DC电源。例如,壁加热元件266可包括可从Watlow(1310 Kingsland Dr.,Batavia,IL,60510)购得的至少一个Firerod筒形加热器。冷却元件也可用于化学处理室211。可用诸如热电偶(例如,K型热电偶、Pt传感器等)之类的温度传感设备来监测化学处理室211的温度。此外,控制器可利用温度测量作为至壁温控制单元268的反馈,来控制化学处理室211的温度。
参考图3,化学处理系统210还可包括可保持在任何选定温度的温度受控气体分配系统260。例如,气体分配加热元件267可耦合到气体分配系统温度控制单元269,并且气体分配加热元件267可被配置成耦合到气体分配系统260。加热元件可例如包括诸如钨、镍铬合金、铝铁合金或氮化铝等的丝之类的电阻加热元件。可购得的用于制作电阻加热元件的材料示例包括Kanthal、Nikrothal、Akrothal,这些都是由CT,Bethel的Kanthal Corporation生产的金属合金的注册商标名。Kanthal族包括铁素体合金(FeCrAl),Nikrothal族包括奥氏体合金(NiCr,NiCrFe)。当电流流经电阻丝时,功率耗散为热,因此,气体分配系统温度控制单元269可例如包括可控DC电源。例如,气体分配加热元件267可包括能够达到约1400W(或者约5W/in2的功率密度)的双区硅橡胶加热器(约1mm厚)。可用诸如热电偶(例如,K型热电偶、Pt传感器等)之类的温度传感设备来监测气体分配系统260的温度。此外,控制器可利用温度测量作为至气体分配系统温度控制单元269的反馈,来控制气体分配系统260的温度。图8-10B的气体分配系统也可结合温度控制系统。或者或此外,冷却元件可用于任何一个实施例。
参考图2和3,真空泵系统250可包括真空泵252和用于对室压节流控制的闸门阀254。真空泵252可例如包括泵速可至5000升每秒(及更大)的涡轮分子真空泵(TMP)。例如,TMP可为Seiko STP-A803真空泵,或Ebara ET1301W真空泵。TMP可用于通常压强小于约50mTorr的低压处理。对于高压处理(即,大于约100mTorr)或低吞吐量处理(即,没有气体流动),可使用机械增压泵和干粗抽泵。
参考图3,化学处理系统210还可包括具有微处理器、存储器和数字I/O端口的控制器235,其能够生成控制电压,该控制电压足以传输和激活至化学处理系统210的输入以及监测化学处理系统210的输出(如温度和压强传感设备)。而且,控制器235可与衬底支座组件244、气体分配系统260、真空泵系统250、闸门阀组件296、壁温控制单元268和气体分配系统温度控制单元269相耦合并与其交换信息。例如,可利用存储器中存储的程序来激活根据工艺配方的到化学处理系统210的前述构件的输入。控制器235的一个例子为可从美国Texas州Austin的Dell公司购得的DELL PRECISION WORKSTATION 610TM
在一个示例中,图4示出了化学处理系统210’,化学处理系统210’还包括带手柄213、至少一个锁扣214和至少一个铰链217的盖212、可视窗口215以及至少一个压强传感设备216。
如图2和5所述,热处理系统220还包括温度受控衬底支座270。衬底支座270包括用热阻挡层274与热处理室221绝热的基座272。例如,衬底支座270可由铝、不锈钢或镍制成,热阻挡层274可由诸如聚四氟乙烯、矾土或石英之类的热绝缘体制成。衬底支座270还包括嵌入其中的加热元件276和与其相耦合的衬底支座温度控制单元278。加热元件276可例如包括电阻加热元件,如钨、镍铬合金、铝铁合金或氮化铝的丝等。可购得的用于制作电阻加热元件的材料示例包括Kanthal、Nikrothal和Akrothal,这些都是由CT,Bethel的Kanthal Corporation生产的金属合金的注册商标名。Kanthal族包括铁素体合金(FeCrAl),Nikrothal族包括奥氏体合金(NiCr,NiCrFe)。当电流流经电阻丝时,功率耗散为热,因此,衬底支座温度控制单元278可例如包括可控DC电源。或者,温度受控衬底支座270可例如为可从Watlow(1310 Kingsland Dr.,Batavia,IL,60510)购得的内铸的加热器,其能够达到约400℃到约450℃的最大操作温度,或者可为包括氮化铝材料的薄膜加热器,其也可从Watlow购得,并且能够操作高至约300℃的温度和高至23W/cm2的功率密度。或者,在衬底支座270中可以包含冷却元件。
可用诸如热电偶(例如,K型热电偶等)之类的温度传感设备来监测衬底支座270的温度。此外,控制器可利用温度测量作为至衬底支座温度控制单元278的反馈,来控制衬底支座270的温度。
另外,可用诸如光纤温度计或带边缘温度测量系统之类的温度传感设备来监测衬底温度,光纤温度计可从Advanced Energies Inc.(1625 SharpPoint Drive,Fort Collins,CO,80525)购得,型号为No.OR2000F,能够测量从约50℃到约2000℃的温度,精度约为±1.5℃,带边缘温度测量系统在2002年7月2日提交的未决美国专利申请10/168544中有所描述,这里通过引用并入其全部内容。
参考图5,热处理系统220还包括保持在选定温度下的温度受控热处理室221。例如,热壁加热元件283可耦合到热壁温度控制单元281,热壁加热元件283可被配置成耦合到热处理室221。加热元件可例如包括诸如钨、镍铬合金、铝铁合金或氮化铝等的丝之类的电阻加热元件。可购得的用于制作电阻加热元件的材料示例包括Kanthal、Nikrothal、Akrothal,这些都是由CT,Bethel的Kanthal Corporation生产的金属合金的注册商标名。Kanthal族包括铁素体合金(FeCrAl),Nikrothal族包括奥氏体合金(NiCr,NiCrFe)。当电流流经电阻丝时,功率耗散为热,因此,热壁温度控制单元281可例如包括可控DC电源。例如,热壁加热元件283可包括可从Watlow(1310 Kingsland Dr.,Batavia,IL,60510)购得的至少一个Firerod筒形加热器。或者或此外,冷却元件也可用于热处理室221。可用诸如热电偶(例如,K型热电偶、Pt传感器等)之类的温度传感设备来监测热处理室221的温度。此外,控制器可利用温度测量作为至热壁温度控制单元281的反馈,来控制热处理室221的温度。
参考图2和5,热处理系统220还包括上部组件284。上部组件284可例如包括用于将净化气体、处理气体或清洗气体引入到热处理室221的气体注入系统。或者,热处理室221可包括与上部组件相分离的气体注入系统。例如,净化气体、处理气体或清洗气体可通过侧壁引入到热处理室221。其还可包括盖子或盖,其具有至少一个铰链、手柄和用于将盖锁在闭合位置的锁扣。在替换实施例中,上部组件284可包括诸如钨卤素灯阵列之类的辐射加热器,其用于加热位于衬底升降组件290的叶片500(见图11)顶部的衬底242”。这种情况下,衬底支座270可不包括在热处理室221中。
参考图5,热处理系统220还可包括可保持在选定温度下的温度受控上部组件284。例如,上部组件加热元件285可耦合到上部组件温度控制单元286,上部组件加热元件285可被配置成耦合到上部组件284。加热元件可例如包括诸如钨、镍铬合金、铝铁合金或氮化铝等的丝之类的电阻加热元件。可购得的用于制作电阻加热元件的材料示例包括Kanthal、Nikrothal、Akrothal,这些都是由CT,Bethel的Kanthal Corporation生产的金属合金的注册商标名。Kanthal族包括铁素体合金(FeCrAl),Nikrothal族包括奥氏体合金(NiCr,NiCrFe)。当电流流经电阻丝时,功率耗散为热,因此,上部组件温度控制单元286可例如包括可控DC电源。例如,上部组件加热元件285可包括能够达到约1400W(或者约5W/in2的功率密度)的双区硅橡胶加热器(约1mm厚)。可用诸如热电偶(例如,K型热电偶、Pt传感器等)之类的温度传感设备来监测上部组件284的温度。此外,控制器可利用温度测量作为至上部组件温度控制单元286的反馈,来控制上部组件284的温度。此外或或者,上部组件284可包括冷却元件。
参考图2和5,热处理系统220还包括衬底升降组件290。衬底升降组件290被配置成将衬底242’降低至衬底支座270的上表面,以及将衬底242”从衬底支座270的上表面升高至支撑面或位于其间的传输面。在传输面上,衬底242”可与传输系统进行交换,该传输系统用来将衬底传入和传出化学和热处理室211、221。在支撑面上,衬底242”可以被冷却,同时另一个衬底在传输系统与化学和热处理室211、221之间交换。如图11所示,衬底升降组件290包括具有三个或更多个舌片510的叶片500、用于将衬底升降组件290耦合到热处理室221的法兰520和用于在热处理室221内垂直移动叶片500的驱动系统530。舌片510被配置成在升高的位置上抓紧衬底242”,并当处于较低位置时,舌片510凹入在衬底支座270内形成的接受空腔540(见图5)中。驱动系统530可例如是气动驱动系统,其被设计来满足各种技术要求,包括气缸冲程长度、气缸冲程速度、位置精度、非旋转精度等,这对气动驱动系统设计领域的技术人员来说是公知的。
参考图2和5,热处理系统220还包括真空泵系统280。真空泵系统280可例如包括真空泵和诸如闸门阀或蝶阀之类的节流阀。真空泵可例如包括泵速可至5000升每秒(及更大)的涡轮分子真空泵(TMP)。TMP可用于通常压强小于约50mTorr的低压处理。对于高压处理(即,大于约100mTorr),可使用机械增压泵和干粗抽泵。
参考图5,热处理系统220还可包括具有微处理器、存储器和数字I/O端口的控制器275,其能够生成控制电压,该控制电压足以传输和激活至热处理系统220的输入以及监测热处理系统220的输出。而且,控制器275可与衬底支座温度控制单元278、上部组件温度控制单元286、上部组件284、热壁温度控制单元281、真空泵系统280和衬底升降组件290相耦合并与其交换信息。例如,可利用存储器中存储的程序来激活根据工艺配方的到热处理系统220的前述构件的输入。控制器275的一个例子为可从美国Texas州Austin的Dell公司购得的DELL PRECISIONWORKSTATION 610TM
在替换实施例中,控制器235和275可以是相同的控制器。
在一个示例中,图6示出了热处理系统220’,热处理系统220’还包括带手柄223和至少一个铰链224的盖222、可视窗口225以及至少一个压强传感设备226。此外,热处理系统220’还包括衬底检测系统227,以识别衬底是否位于支撑面中。衬底检测系统可例如包括Keyence数字激光传感器。
图12、13和14分别示出了热绝缘组件230的侧视图、顶视图和侧视剖视图。类似的组件也可用作热绝缘组件50、150或650。热绝缘组件230可包括接口板231和绝缘板232,接口板231例如耦合到化学处理室211,如图12所示,并且被配置成形成热处理室221(图14)和化学处理室211之间的结构接触,绝缘板232耦合到接口板231,并且被配置成减少热处理室221和化学处理室211之间的热接触。此外,在图12中,接口板231包括一个或多个具有配合面234的结构接触件233,配合面234被配置成与热处理室221上的配合面相耦合。接口板231可由诸如铝、不锈钢等之类的金属制成,以形成两个室211、221之间的刚性接触。绝缘板232可由诸如聚四氟乙烯、矾土、石英等之类的具有低热导率的材料制成。热绝缘组件在2003年11月12日提交的题为“Method and ApparatusFor Thermally Insulating Adjacent Temperature Controlled Chambers”的未决美国申请No.10/705,397中有更详细的描述,这里通过引用并入其全部内容。
如图2和14所示,闸门阀组件296被用于垂直移动闸门阀297以开启和闭合公用开口294。闸门阀组件296还可包括闸门阀适配板239,其提供了与接口板231的真空密封和与闸门阀297的密封。
两个室211、221可以通过如下方式彼此耦合:利用一个或多个对准设备235并在一个或多个对准受体235’中终接(如图6所示),以及使一个或多个紧固设备236(即螺栓)延伸通过第一室(例如,化学处理室211)上的法兰237,并在第二室(例如,热处理室221)中的一个或多个接收设备236’(即螺纹孔,如图6所示)内终接。如图14所示,可以使用例如弹性体O形环密封238在绝缘板232、接口板231、闸门适配板239和化学处理室211之间形成真空密封,并且可以经由O形环密封238在接口板232和热处理室221之间形成真空密封。
此外,包括化学处理室211和热处理室221的构件的一个或多个表面可涂布有保护阻挡层。保护阻挡层可包括Kapton、聚四氟乙烯、表面阳极化物、诸如矾土、氧化钇等之类的陶瓷喷射涂层、等离子体电解氧化物等中的至少一种。
图15示出了操作包括化学处理系统210和热处理系统220的处理系统200的方法。该方法被示为流程图800,流程图800开始于810,在810,利用衬底传输系统将衬底传入化学处理系统210。衬底被位于衬底支座内的升降钉接收,并且衬底被降低到衬底支座上。其后,利用诸如静电夹紧系统之类的夹紧系统将衬底固定于衬底支座上,并将传热气体供应到衬底背面。
在820,设置衬底的化学处理的一个或多个化学处理参数。例如,这一个或多个化学处理参数包括化学处理处理压强、化学处理壁温、化学处理衬底支座温度、化学处理衬底温度、化学处理气体分配系统温度和化学处理气体流率中的至少一个。例如,可能发生以下情形中的一种或多种:1)采用耦合到壁温控制单元和第一温度传感设备的控制器来设置化学处理室的化学处理室温;2)采用耦合到气体分配系统温度控制单元和第二温度传感设备的控制器来设置化学处理室的化学处理气体分配系统温度;3)采用耦合到至少一个温度控制元件和第三温度传感设备的控制器来设置化学处理衬底支座温度;4)采用耦合到温度控制元件、背面气体供给系统和夹紧系统中的至少一个以及衬底支座内的第四温度传感设备的控制器来设置化学处理衬底温度;5)采用耦合到真空泵系统和气体分配系统中的至少一个以及压强传感设备的控制器来设置化学处理室内的处理压强;和/或6)通过耦合到气体分配系统内的一个或多个质量流量控制器的控制器来设置一种或多种处理气体的质量流率。
在830,在820中所设置的条件下对衬底化学处理第一时段。第一时段的范围可例如从约10到约480秒。
在840,将衬底从化学处理室传入到热处理室。在此期间,去除衬底夹紧,并终止到衬底背面的传热气体的流动。利用位于衬底支座内的升降钉组件将衬底从衬底支座垂直升到传输面。传输系统从升降钉接收衬底,并将衬底定位在热处理系统内。在热处理系统中,衬底升降组件从传输系统接收衬底,并将衬底降低到衬底支座上。
在850,设置衬底的热处理的热处理参数。例如,一个或多个热处理参数包括热处理壁温、热处理上部组件温度、热处理衬底温度、热处理衬底支座温度和热处理处理压强中的至少一个。例如,可能发生以下情形中的一种或多种:1)采用耦合到热处理室内的第一温度传感设备和热壁温度控制单元的控制器来设置热处理壁温;2)采用耦合到上部组件内的第二温度传感设备和上部组件温度控制单元来设置热处理上部组件温度;3)采用耦合到被加热衬底支座内的第三温度传感设备和衬底支座温度控制单元来设置热处理衬底支座温度;4)采用耦合到被加热衬底支座内的第四温度传感设备和衬底支座温度控制单元并且耦合到衬底的控制器来设置热处理衬底温度;和/或5)采用耦合到真空泵系统、气体分配系统和压强传感设备的控制器来设置热处理室内的热处理处理压强。
在860,在850中所设置的条件下对衬底热处理第二时段。第二时段的范围可例如从约10到约480秒。
在示例中,如图2所示的处理系统200可以是用于修整氧化物硬掩模的化学氧化物去除系统。处理系统200包括化学处理系统210,化学处理系统210用于化学处理衬底上的诸如氧化物表面层之类的暴露表面层,从而,暴露表面上处理化学剂的吸附影响了表面层的化学改性。另外,处理系统200包括热处理系统220,热处理系统220用于热处理衬底,从而升高衬底温度以解吸附(或蒸发)衬底上经化学改性的暴露表面层。
在化学处理系统210中,对处理空间262(见图2)抽真空,并引入包括诸如HF之类的第一处理气体和诸如NH3之类的第二处理气体的处理气体。或者,第一和第二处理气体还可包括载气。载气可例如包括惰性气体,如氩气、氙气、氦气等。处理压强范围可从1到100mTorr,例如可从约2到约25mTorr。处理气体流率范围可从每种物质约1到约200sccm,例如可从约10到约100sccm。
另外,可将化学处理室211加热到范围从约10℃到约200℃的温度,例如,温度范围可从约35℃到约55℃。另外,可将气体分配系统加热到范围从约10℃到约200℃的温度,例如,温度范围可从约40℃到约60℃。可将衬底保持在范围从约10℃到约50℃的温度,例如,衬底温度范围可从约25℃到约30℃。
在热处理系统220中,可将热处理室221加热到范围从约20℃到约200℃的温度,例如,温度范围可从约75℃到约100℃。另外,可将上部组件加热到范围从约20℃到约200℃的温度,例如,温度范围可从约75℃到约100℃。可将衬底加热到超过约100℃范围从约100℃到约200℃的温度,例如,温度范围可从约100℃到约150℃。
如上所述,在化学处理系统210中使用的第一和第二处理气体可包括HF和NH3。利用在图9A、9B、10A和10B中描述的气体分配组件,可将第一处理气体HF与第二处理气体NH3独立地引入到化学处理系统内的处理空间。或者,将两种处理气体混合,并作为气体混合物引入到处理空间。
图16示出了在衬底被暴露于第一(HF)和第二(NH3)处理气体期间,在处理压强为15mTorr的情况下修整量数据(nm;由星号“*”代表)与(摩尔)HF气体比率(或HF摩尔分数)(即,HF摩尔数对处理气体总摩尔数的比率)之间的函数关系。处理配方例如对应于HF的流率、NH3的流率、处理空间中的压强、化学处理系统210中衬底支座的温度和化学处理室211的温度。例如,当HF气体比率等于0时,只引入了NH3,而当HF气体比率等于1时,只引入了HF。如图16所示,修整量在HF气体比率为50%时达到峰值。另外,修整量数据的拟合方程(实线)具有下面的形式
y=Ax(1-x)    (1)
其中y代表修整量,x代表HF气体比率,A是常数。虚线指示预测的95%置信限度。尽管图16的上述描述示出了修整量和处理气体的(摩尔)气体比率(或摩尔分数)之间的关系,但是也可以在修整量和处理气体(即,第一处理气体、第二处理气体、惰性气体等)量之间建立关系。例如,处理气体量可包括质量、摩尔数、质量流率、摩尔流率、气体浓度、分压、质量分数、摩尔分数、第一和第二处理气体间的气体(质量或摩尔)比率、第一或第二处理气体和惰性气体间的气体(质量或摩尔)比率等等。
此外,图17示出了在处理压强约为10mTorr的情况下修整量数据(nm;由星号“*”代表)与(摩尔)HF气体比率(或HF摩尔分数)之间的函数关系。同样,利用以方程(1)形式表示的方程来拟合修整量数据。使用方程(1)来拟合图16和17中表示的修整量数据表明,修整量直接正比于HF气体比率和NH3气体比率,即
y=Ax(1-x)=Bα(HF)α(NH3)    (2)
其中α(HF)代表摩尔HF气体比率(或摩尔分数),α(NH3)代表摩尔NH3气体比率(或摩尔分数),B是常数。或者,方程(2)可重写为包括在化学处理中出现的每种物质的分压。例如,
y=Ax(1-x)=BP-2p(HF)p(NH3)   (3)
其中p(HF)代表HF的分压,p(NH3)代表NH3的分压,P代表处理压强,B是常数。每种物质的分压表示为
p(HF)={n(HF)/[n(HF)+n(NH3)]}P      (4a)
p(NH3)={n(NH3)/[n(HF)+n(NH3)]}P    (4b)
或,
p(HF)={(m(HF)/MW(HF))/[m(HF)/MW(HF)+m(NH3)/MW(NH3)]}P(4c)
p(NH3)={(m(NH3)/MW(NH3))/[m(HF)/MW(HF)+m(NH3)/MW(NH3)]}P(4d)
其中n(HF)代表HF的摩尔数,m(HF)代表HF的质量,MW(HF)代表HF的分子量,n(NH3)代表NH3的摩尔数,m(NH3)代表NH3的质量,MW(NH3)代表NH3的分子量,处理压强P是分压的总和,即
P=p(HF)+p(NH3)    (4e)
当还引入了诸如氩气之类的惰性气体时,方程组(4a-d)变为
p(HF)={n(HF)/[n(HF)+n(NH3)+n(Ar)]}P      (5a)
p(NH3)={n(NH3)/[n(HF)+n(NH3)+n(Ar)]}P    (5b)
p(Ar)={n(Ar)/[n(HF)+n(NH3)+n(Ar)]}P      (5c)
p(HF)={(m(HF)/MW(HF))/[m(HF)/MW(HF)+m(NH3)/MW(NH3)+m(Ar)/MW(Ar)]}P  (5d)
p(NH3)={(m(NH3)/MW(NH3))/[m(HF)/MW(HF)+m(NH3)/MW(NH3)+m(Ar)/MW(Ar)]}P    (5e)
p(Ar)={(m(Ar)/MW(Ar))/[m(HF)/MW(HF)+m(NH3)/MW(NH3)+m(Ar)/MW(Ar)]}P         (5f)
其中n(Ar)代表Ar的摩尔数,m(Ar)代表Ar的质量,MW(Ar)代表Ar的分子量,处理压强P等于
P=p(HF)+p(NH3)+p(Ar)    (4e)
注意,在上述方程组中,在任何位置质量m都可被替代以相应的质量流率,并且在任何位置摩尔数n都可被替代以摩尔流率。
利用上述方程组,开发出用于设置化学氧化物去除工艺中的工艺配方的参数的工艺模型或关系。工艺配方包括两种或更多种物质的流率和处理压强。例如,用于化学氧化物去除工艺的工艺配方包括第一反应物物质的流率、第二反应物物质的流率和处理压强。或者例如,工艺配方包括第一反应物物质的流率、第二反应物物质的流率、惰性气体的流率和处理压强。在前一示例中,第一反应物物质的流率可以是HF的流率,第二反应物物质的流率可以是NH3的流率。在后一示例中,第一反应物物质的流率可以是HF的流率,第二反应物物质的流率可以是NH3的流率,惰性气体的流率可以是Ar的流率。
工艺模型建立了工艺结果和可变参数之间的关系,同时至少一个恒定参数保持为常数。例如,工艺结果包括化学氧化物去除工艺中的修整量。修整量和可变参数之间的关系可基于内插、外推和/或数据拟合确定。数据拟合可包括多项式拟合、指数拟合和/或幂律拟合。在工艺配方包括两种反应物和处理压强的前一示例中,在工艺模型的准备期间可以保持一个恒定参数恒定。或者,在工艺配方包括两种反应物、惰性气体和处理压强的后一示例中,可以保持两个恒定参数恒定。可变参数可包括任何气体物质的量(例如,第一处理气体或反应物物质的量、第二处理气体或反应物物质的量、惰性气体的量等等)和处理压强。例如,可变参数可包括任何种类的分压、任何种类的摩尔分数、任何种类的质量分数、处理压强、任何两个种类间的质量比、任何两个种类间的摩尔比、任何种类的质量、任何种类的质量流率、任何种类的摩尔数或任何种类的摩尔流率。恒定参数不同于可变参数,并且可包括任何种类的分压、任何种类的摩尔分数、任何种类的质量分数、处理压强、任何两个种类间的质量比、任何两个种类间的摩尔比、任何种类的质量、任何种类的质量流率、任何种类的摩尔数或任何种类的摩尔流率。
其后,一旦指定了诸如目标修整量之类的目标工艺结果,就利用工艺模型来确定可变参数的目标值。利用可变参数的目标值和一个或多个恒定参数,使用方程组4(a,b,e)或4(c,d,e)和方程组5(a-c,g)或5(d-f,g)来确定剩余参数,其中方程组4(a,b,e)或4(c,d,e)用于具有两种物质和一个处理压强的工艺配方,方程组5(a-c,g)或5(d-f,g)用于具有三种物质和一个处理压强的工艺配方。
参考图18,提供了用于使用基于分压的工艺模型来实现目标工艺结果的示例。在图18中,修整量数据(nm)是针对将具有均厚二氧化硅层的衬底暴露于工艺配方的情形获取的。工艺配方包括处理压强和包括HF、NH3和Ar的气相化学剂。如图18所示,在保持HF对NH3的摩尔比(第一恒定参数)恒定和处理压强(第二恒定参数)恒定的同时,修整量数据与HF的分压(可变参数)相关联。质量比是如上定义的每种物质的质量比,并且与摩尔比有如下关系:
m(HF)/m(NH3)=f(HF)/f(NH3)=[n(HF)MW(HF)]/[n(NH3)MW(NH3)](6)
其中f(HF)代表HF的质量流率(Kg/sec,或sccm),f(NH3)代表NH3的质量流率(Kg/sec,或sccm)。
参考图18,修整量数据由诸如多项式方程之类的关系表示。例如,实线对应于修整量数据的三阶多项式拟合。虚线代表曲线拟合的预测的95%置信限度。
因此,可以选择目标修整量,并且利用图18的关系(或工艺模型),可以确定用于实现目标修整量的HF的分压。例如根据HF的分压和已知的处理压强以及HF对NH3的摩尔比,可以从方程组5(a-c,g)中确定相应的NH3的分压和Ar的分压。
参考图19,提供了用于使用基于分压的工艺模型来实现目标工艺结果的另一示例。这种情况下,修整量数据(nm)是针对具有图案化的二氧化硅层的衬底获取的。在引入HF、NH3和Ar的期间,将衬底暴露于保持在处理压强的工艺环境。修整量数据(nm)在图19中表示为HF的分压(可变参数)的函数,其中数据是在保持HF对NH3的摩尔比(第一恒定参数)恒定和处理压强(第二恒定参数)恒定的同时获取的。修整量数据由诸如多项式曲线拟合之类的关系表示。例如,实线对应于修整量数据的三阶多项式拟合。虚线代表曲线拟合的预测的95%置信限度。
因此,可以选择目标修整量,并且利用图19的关系(或工艺模型),可以确定用于实现目标修整量的HF的分压。例如根据HF的分压和已知的处理压强以及HF对NH3的摩尔比,可以从方程组5(a-c,g)中确定相应的NH3的分压和Ar的分压。
一旦对于所有参数解出了方程组,就可以通过指定一个质量流率或摩尔流率来确定这些物质的流率等的绝对值(如果还未已知或者不是保持恒定(作为恒定参数)的话)。
图20示出了在化学氧化物去除工艺中实现衬底上的特征的目标修整量的方法。该方法包括流程图900,流程图900开始于910,在910中,在保持一个或多个恒定参数恒定的同时,获取诸如修整量数据之类的工艺数据,作为工艺配方的可变参数的函数。工艺配方可包括第一处理气体(如HF)的流率、第二处理气体(如NH3)的流率、惰性气体(如Ar)的流率、压强和温度。
在920中,确定工艺结果和可变参数之间的关系。例如,利用多项式表达式、指数表达式或幂律表达式对工艺数据进行曲线拟合。
在930中,使用该关系来确定对给定目标工艺结果的可变参数的目标值。
在940中,将衬底暴露于化学处理系统中的工艺配方预定的时段,其中该工艺配方是根据可变参数和一个或多个恒定参数确定的。
在950中,或者通过在热处理系统中升高衬底温度,或者通过冲洗衬底,基本去除目标修整量。
尽管上面只详细描述了本发明的某些实施例,但是本领域技术人员将很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下可以对实施例进行许多修改。因此,所有这些修改都应当包括在本发明的范围内。

Claims (15)

1.一种用于在化学氧化物去除工艺中实现衬底上的特征的目标修整量的方法,包括:
利用包括第一反应物、第二反应物和处理压强在内的工艺配方执行化学氧化物去除工艺,以在保持至少一个恒定参数恒定的同时获取作为可变参数的函数的修整量数据,其中所述可变参数是第一组参数中的一个,第一组参数包括所述第一反应物的量、所述第二反应物的量和处理压强,不同于所述可变参数的所述至少一个恒定参数是第二组参数中的一个,第二组参数包括所述第一反应物的量、所述第二反应物的量和处理压强;
确定所述修整量数据和所述可变参数之间的关系;
利用所述修整量数据和所述关系来确定所述可变参数的目标值;
通过将所述衬底暴露于利用所述可变参数的所述目标值和所述至少一个恒定参数的所述工艺配方,来化学处理所述衬底上的所述特征;以及
从所述特征基本去除所述目标修整量。
2.如权利要求1所述的方法,其中所述利用所述工艺配方执行所述化学氧化物去除工艺的步骤包括如下可变参数和不同于所述可变参数的至少一个恒定参数,其中所述可变参数选自由以下各项组成的组:第一反应物的分压、第二反应物的分压、处理压强、所述第一反应物的摩尔分数和所述第二反应物的摩尔分数,并且所述至少一个恒定参数选自由以下各项组成的组:所述第一反应物的所述分压、所述第二反应物的所述分压、所述处理压强、所述第一反应物的所述摩尔分数、所述第二反应物的所述摩尔分数、所述第一反应物对所述第二反应物的质量分数、所述第一反应物对所述第二反应物的摩尔比、所述第一反应物的质量、所述第二反应物的质量、所述第一反应物的质量流率、所述第二反应物的质量流率、所述第一反应物的摩尔数、所述第二反应物的摩尔数、所述第一反应物的摩尔流率和所述第二反应物的摩尔流率。
3.如权利要求1所述的方法,其中所述第一反应物的所述量包括所述第一反应物的分压、处理压强和所述第一反应物的摩尔分数中的一个,并且不同于所述可变参数的所述至少一个恒定参数是第二组参数中的一个,所述第二组参数包括所述第一反应物的所述分压、所述第二反应物的所述分压、所述处理压强、所述第一反应物的所述摩尔分数、所述第二反应物的所述摩尔分数、所述第一反应物对所述第二反应物的质量分数、所述第一反应物对所述第二反应物的摩尔比、所述第一反应物的质量、所述第二反应物的质量、所述第一反应物的质量流率、所述第二反应物的质量流率、所述第一反应物的摩尔数、所述第二反应物的摩尔数、所述第一反应物的摩尔流率和所述第二反应物的摩尔流率。
4.如权利要求1所述的方法,其中所述从所述特征基本去除所述修整量的步骤包括通过在所述化学处理之后升高所述衬底的温度来热处理所述衬底。
5.如权利要求1所述的方法,其中所述从所述特征基本去除所述修整量的步骤包括在所述化学处理之后在水溶液中冲洗所述衬底。
6.如权利要求1所述的方法,其中所述执行所述化学氧化物去除工艺的步骤包括使用包括HF气体和NH3气体的工艺配方。
7.如权利要求2所述的方法,其中所述执行所述化学氧化物去除工艺的步骤还包括使用具有惰性气体的工艺配方,其中所述第一组参数还包括所述惰性气体的分压,并且所述第二组参数还包括所述惰性气体的分压、所述惰性气体的摩尔分数、所述惰性气体的质量、所述惰性气体的质量流率、所述惰性气体的摩尔数、所述惰性气体的摩尔流率、所述第一反应物对所述惰性气体的质量比、所述第二反应物对所述惰性气体的质量比、所述第一反应物对所述惰性气体的摩尔比和所述第二反应物对所述惰性气体的摩尔比。
8.如权利要求6所述的方法,其中所述执行所述化学氧化物去除工艺的步骤包括使用包括HF气体、NH3气体和Ar气体的工艺配方。
9.如权利要求8所述的方法,其中所述对所述恒定参数获取所述修整数据作为所述可变参数的函数的步骤包括在HF对NH3的质量比和所述处理压强是恒定值的情况下获取所述修整数据作为HF的分压的函数。
10.如权利要求1所述的方法,其中所述化学处理所述特征的步骤包括化学处理二氧化硅特征。
11.如权利要求1所述的方法,其中所述确定所述修整量数据和所述可变参数之间的关系的步骤包括内插、外推和数据拟合中的至少一种。
12.如权利要求11所述的方法,其中所述数据拟合包括多项式拟合、指数拟合和幂律拟合中的至少一种。
13.一种用于利用工艺配方执行化学氧化物去除工艺以实现衬底上的特征的目标修整量的方法,包括:
确定修整量数据和所述工艺配方的某一气体物质的分压之间的关系;
设置所述目标修整量;
利用所述关系和所述目标修整量来确定所述气体物质的所述分压的目标值;
根据所述气体物质的所述分压的所述目标值来调节所述工艺配方:以及
通过将所述衬底暴露于所述工艺配方来化学处理所述衬底上的所述特征。
14.一种用于在化学氧化物去除工艺中实现衬底上的目标修整量的系统,包括:
化学处理系统,其用于通过在一段暴露时间内将所述衬底暴露于工艺配方来改性所述衬底上的暴露表面层,所述工艺配方具有第一处理气体的量、第二处理气体的量、可选惰性气体的量和处理压强;
热处理系统,其用于热处理所述衬底上的所述经化学改性的表面层;以及
控制器,其耦合到所述化学处理系统,并且被配置成对于一个或多个恒定参数使用修整量和可变参数之间的关系,其中所述可变参数是第一组参数中的一个,所述第一组参数包括所述第一反应物的所述量、所述第二反应物的所述量、所述可选惰性气体的所述量和所述处理压强,并且不同于所述可变参数的所述一个或多个恒定参数是第二组参数中的一个,所述第二组参数包括所述第一反应物的所述量、所述第二反应物的所述量、所述可选惰性气体的所述量和所述处理压强。
15.如权利要求14所述的系统,其中所述可变参数选自由以下各项组成的组:所述第一反应物的分压、所述第二反应物的分压、所述第一反应物、所述第二反应物和所述可选惰性气体的处理压强、所述第一反应物的摩尔分数和所述第二反应物的摩尔分数,并且所述一个或多个恒定参数选自由以下各项组成的组:所述第一反应物的所述分压、所述第二反应物的所述分压、所述第一反应物、所述第二反应物和所述可选惰性气体的所述处理压强、所述第一反应物的所述摩尔分数、所述第二反应物的所述摩尔分数、所述第一反应物对所述第二反应物的质量分数、所述第一反应物对所述第二反应物的摩尔比、所述第一反应物的质量、所述第二反应物的质量、所述第一反应物的质量流率、所述第二反应物的质量流率、所述第一反应物的摩尔数、所述第二反应物的摩尔数、所述第一反应物的摩尔流率和所述第二反应物的摩尔流率。
CNB2005800099548A 2004-03-30 2005-02-08 利用分压调节化学氧化物去除工艺的方法和系统 Expired - Fee Related CN100446209C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/812,355 US20050218113A1 (en) 2004-03-30 2004-03-30 Method and system for adjusting a chemical oxide removal process using partial pressure
US10/812,355 2004-03-30

Publications (2)

Publication Number Publication Date
CN1938840A CN1938840A (zh) 2007-03-28
CN100446209C true CN100446209C (zh) 2008-12-24

Family

ID=34960594

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800099548A Expired - Fee Related CN100446209C (zh) 2004-03-30 2005-02-08 利用分压调节化学氧化物去除工艺的方法和系统

Country Status (6)

Country Link
US (1) US20050218113A1 (zh)
EP (1) EP1730768A2 (zh)
JP (1) JP2007531306A (zh)
KR (1) KR20070003797A (zh)
CN (1) CN100446209C (zh)
WO (1) WO2005104215A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6071815A (en) * 1997-05-29 2000-06-06 International Business Machines Corporation Method of patterning sidewalls of a trench in integrated circuit manufacturing
CN1447387A (zh) * 2002-03-27 2003-10-08 日本电气株式会社 形成抗蚀图的方法
US20030230551A1 (en) * 2002-06-14 2003-12-18 Akira Kagoshima Etching system and etching method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7116248B2 (en) * 2003-11-20 2006-10-03 Reno A & E Vehicle detector system with synchronized operation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6071815A (en) * 1997-05-29 2000-06-06 International Business Machines Corporation Method of patterning sidewalls of a trench in integrated circuit manufacturing
CN1447387A (zh) * 2002-03-27 2003-10-08 日本电气株式会社 形成抗蚀图的方法
US20030230551A1 (en) * 2002-06-14 2003-12-18 Akira Kagoshima Etching system and etching method

Also Published As

Publication number Publication date
US20050218113A1 (en) 2005-10-06
KR20070003797A (ko) 2007-01-05
JP2007531306A (ja) 2007-11-01
EP1730768A2 (en) 2006-12-13
WO2005104215A3 (en) 2005-12-22
WO2005104215A2 (en) 2005-11-03
CN1938840A (zh) 2007-03-28

Similar Documents

Publication Publication Date Title
CN100446209C (zh) 利用分压调节化学氧化物去除工艺的方法和系统
US6951821B2 (en) Processing system and method for chemically treating a substrate
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
CN100511576C (zh) 化学氧化物去除系统和方法
CN100449723C (zh) 用于处理衬底的处理系统和方法
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20080217293A1 (en) Processing system and method for performing high throughput non-plasma processing
US8303716B2 (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
US20100025367A1 (en) High throughput chemical treatment system and method of operating

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081224

Termination date: 20140208