TWI454336B - 高溫真空吸座組件 - Google Patents

高溫真空吸座組件 Download PDF

Info

Publication number
TWI454336B
TWI454336B TW098101435A TW98101435A TWI454336B TW I454336 B TWI454336 B TW I454336B TW 098101435 A TW098101435 A TW 098101435A TW 98101435 A TW98101435 A TW 98101435A TW I454336 B TWI454336 B TW I454336B
Authority
TW
Taiwan
Prior art keywords
assembly
vacuum suction
support body
support
protrusions
Prior art date
Application number
TW098101435A
Other languages
English (en)
Other versions
TW200940246A (en
Inventor
Alexander N Lerner
Blake Koelmel
Mehran Behdjat
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200940246A publication Critical patent/TW200940246A/zh
Application granted granted Critical
Publication of TWI454336B publication Critical patent/TWI454336B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25BTOOLS OR BENCH DEVICES NOT OTHERWISE PROVIDED FOR, FOR FASTENING, CONNECTING, DISENGAGING OR HOLDING
    • B25B11/00Work holders not covered by any preceding group in the subclass, e.g. magnetic work holders, vacuum work holders
    • B25B11/005Vacuum work holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/11Vacuum

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Description

高溫真空吸座組件
本發明之實施例大體上是關於半導體製造,且特別是有關用於處理腔室的真空吸座(chuck)組件。
積體電路(IC)製造時,基材經加熱達高溫而發生各種化學及/或物理反應。熱處理通常用來加熱基材。諸如退火之典型熱處理需在短時間內提供相當大量的熱能給基材,隨後快速冷卻晶圓以終止熱處理。目前採用的熱處理實例包括快速熱處理(rapid thermal processing;RTP)、脈衝(尖峰)退火、掃描式雷射退火技術和脈衝式雷射技術。加熱之真空吸座一般用來在熱處理期間將基材固定於處理腔室。
在整個積體電路製造過程中,基材表面的平面性非常重要,尤其是在脈衝式雷射退火處理期間,例如動態表面退火(dynamic surface annealing;DSA)處理。DSA處理時,雷射的放射線掃描基材,以促進退火處理。退火雷射的聚焦深度深受數種因素影響,包括晶圓表面的平面性。故托住晶圓的真空吸座支撐表面必須盡量平坦。一般已致力於平坦化真空吸座的整個支撐表面,以確保晶圓表面的平面性。此外,當吸座中心變熱而吸座周圍降溫時,加熱之真空吸座很可能往上彎曲。彎曲的吸座可能造成其上支撐的晶圓變形,而大幅降低晶圓表面的平面性。又,耦接加熱真空吸座的電連接器可能因處理腔室過熱而損壞或熔化。
因此,係期望有真空吸座組件和裝設真空吸座組件的處理腔室,以改進上述真空吸座的缺點。
本發明之實施例大體上是關於半導體製造,且特別是有關用於處理腔室的真空吸座組件。
根據本發明之一實施例,提供一真空吸座組件,其包含:一支撐主體,具有適於支托如晶圓之基材的一支撐表面;至少一支撐構件,用以支撐該支撐主體;以及至少一彈性構件,係與至少一支撐構件耦接。加熱期間,當支撐主體往上彎曲時,彈性構件提供控制力而將支撐主體往下拉及抵消吸座的上彎現象。
在另一實施例中,真空吸座組件更包含:一支撐主體,具有用於將基材支托於其上的支撐表面;複數個突出物,形成於支撐表面上,且突出物自支撐表面突出而在基材與支撐表面之間產生間隙;以及複數個通道,形成於支撐表面上,用以沿著基材背側均勻地分配減壓。
在又一實施例中,真空吸座組件更包含至少一電連接器組件,其係設置穿過中空軸桿,其中電連接器組件包含電連接器和插座,且該組件具有一或多個冷卻通道而在電連接器附近提供空氣冷卻,以避免電連接器過熱。
在再一實施例中,係提供裝設有真空吸座組件的處理腔室。處理腔室包含:一腔室架座(mount);一支撐主體,具有支撐表面而將基材支托於其上;複數個突出物,形成於支撐表面上,且突出物自支撐表面突出而在基材與支撐表面間產生間隙,其中各突出物具有平坦頂表面來接觸基材;複數個通道,係形成於支撐表面上而用以沿著基材背側均勻地分配減壓;至少一支撐構件,用以支撐該支撐主體;以及至少一彈性構件,耦接於腔室架座與至少一支撐構件之間。
本發明之實施例大體上是關於彈性構件,用以補償支撐主體因加熱而彎曲,以確保支撐表面的平面性。本發明之實施例更包含電連接器組件,其使用空氣冷卻以免電連接器於退火處理期間過熱及熔化。本發明之實施例更包含複數個突出物,形成於支撐主體的支撐表面上,以減少支撐表面與基材(如晶圓)間的表面接觸面積,進而確保基材的平面性及改善退火雷射的聚焦深度。
本發明將以雷射表面退火為例說明於下。然種種處理腔室,包括其他高溫操作型腔室,皆可受惠於所述技術,特別是使用雷射當作退火裝置的處理腔室,其為一部分的半導體晶圓處理系統,例如CENTURA系統,其可購自美國加州聖克拉拉之應用材料公司。當理解包括購自其他製造商的其他處理腔室亦能受惠於本發明。
參照第1及2圖,第1圖繪示根據本發明一實施例,具真空吸座組件150之處理腔室100的截面圖,第2圖繪示根據本發明一實施例之真空吸座組件150的截面圖。真空吸座組件150藉由維持基材平面性而協助改善基材處理。
在一實施例中,處理腔室100為雷射退火腔室。處理腔室100包含腔室主體102。腔室主體102具有側壁106、底部108和視窗110,其定義製程容積112。製程容積112一般經由側壁106的狹縫閥158進入,其協助基材140(以下稱之為基材140)移動進出腔室主體102。在一些實施例中,基材140為晶圓,例如用於半導體處理的晶圓。腔室主體102的側壁106和底部108通常是由單一鋁塊或其他與製程化學物質相容的材料製成。腔室100的底部108包含支撐件170,具有一或多個冷卻通道172形成於支撐件170中。一或多個冷卻通道172耦接冷卻流體供應器190,用以提供冷卻液體或氣體至一或多個卻通道172。支撐件170包含不銹鋼。在一實施例中,支撐件170具有面對基材背側的選擇性反射表面以增強發射率(emissivity)。一或多個支撐銷174耦接並延伸到支撐件170表面上方。腔室100的底部108具有抽氣口114穿設於其中,該抽氣口114係將製程容積112耦接至抽氣系統116,以協助控制製程容積112內的壓力及排放處理時的氣體和副產物。
視窗110由腔室主體102的側壁106支撐,且可移開來維修腔室100的內部空間。在一實施例中,視窗110包含例如為石英之材料。
製程氣體和其他氣體從耦接至氣體供應器120的氣源118引入製程容積112內。在一實施例中,氣源118設置以提供越過基材140表面之均勻氣流。在一實施例中,氣源設於側壁106中。
雷射130設於視窗110上方。可用於所述實施例的雷射進一步描述於共同受讓之美國專利申請案序號10/126,419、西元2002年4月18日申請、美國專利獲證號7,078,651、名稱為「利用掃描之熱通量製程(Thermal Flux Process by Scanning)」之申請案,其一併附上供作參考。在一實施例中,雷射130耦接至移動構件,而該移動構件係適於移動雷射130越過基材140表面。
真空吸座組件150置於腔室主體102的中心,且於處理期間支撐基材140。真空吸座組件150一般包括由軸桿154托住的支撐主體152,軸桿154延伸穿過腔室底部108。支撐主體152通常呈圓形,且其製作材料例如為石英、陶瓷(如氧化鋁)、或其組合。在一實施例中,支撐主體152內封有至少一嵌設的加熱元件156。加熱元件156(如電極或電阻式加熱元件)透過電連接器組件160耦接至功率源,及可控制地加熱支撐主體152和放置其上的基材140達預定溫度。在一實施例中,處理時,加熱元件156加熱基材140達約20℃~750℃。
第3圖繪示根據本發明一實施例之真空吸座組件的上視圖。支撐主體152具有用以支撐基材140於其上的上表面或支撐表面310。複數個突出物312形成在支撐表面310上,且在處理時基材140係支托在突出物312上。
在一實施例中,所有突出物312的高度相同且具有平坦化頂表面來接觸基材140背側,突出物312頂表面的總面積明顯小於支撐表面310的總面積,藉以減少支撐主體152與基材140間的接觸面積。由於支撐主體152與基材140間的接觸面積較小,故本實施例只需平坦化突出物,而不像傳統真空吸座需平坦化整個支撐表面310,如此可簡化支撐表面310的平坦化。另外,二者間的接觸面積小可降低支撐主體152之支撐表面310造成微粒污染的機率。在一實施例中,突出物312的高度為約10微米至約50微米,例如25微米,突出物312的寬度或直徑為約500微米至約5000微米。在一實施例中,複數個突出物312和支撐表面310為單一單元,且例如可藉由以機器加工或珠擊(bead-blasting)支撐主體152表面的方式形成。在另一實施例中,複數個突出物312乃個別形成,且利用此技藝已知的連接技術耦接支撐表面310,例如銅焊或使用黏著劑接合。在又一實施例中,突出物利用沉積製程和遮罩圖案而沉積於支撐表面。在一實施例中,支撐表面310的直徑為300毫米(mm)且具100-500個突出物,例如150-200個突出物,其約佔放置在其上之基材的背側表面面積的10%。在一實施例中,突出物312以實質線性方式排列遍及支撐表面310。在另一實施例中,突出物312按放射圖案從支撐表面中心放射排列。在又一實施例中,突出物按x-y網格圖案排列遍及支撐表面310。在一實施例中,支撐表面310的直徑為200mm。雖然圖中顯示其為圓形,但應理解支撐表面310可包含其他形狀,例如方形或矩形。
第4A-4C圖和第5A-5B圖繪示可用於本發明實施例之突出物的例示形狀。為了防止刮劃基材,突出物的形狀例如為具有平坦化頂表面313a的類半球狀突出物312a(第4A圖),其可形成在圓形基座(第4B圖)或方形基座上(第4C圖);或者,其可為具圓形頂表面的方形基座,例如具平坦化頂表面313b的突出物312b(第5A及5B圖)。上述突出物的形狀、尺寸和圖案僅為舉例說明,本發明不限於此。複數個突出物312可包含圓柱形架座、支柱、三角錐、圓錐、長方體、不同大小或其他形狀的突出物、或其組合,其將可改善雷射退火處理的聚焦深度。
回溯第1及3圖,突出物312在基材140與支撐主體152之支撐表面310間產生間隙D1 。在一實施例中,複數個通道314形成於支撐表面310且連接真空幫浦(未繪示),以於間隙D1 產生減壓而將基材140固定在支撐主體152。通道314最好形成為對稱圖案,以在基材140上施加均勻吸力。如第3圖所示,通道314的形成圖案是由圓形通道314a、直線通道314b和二對傾斜通道314c/314d與314e/314f組成。直線通道314b形成在圓形通道314a的直徑,傾斜通道314c/314d與314e/314f分別自直線通道314b延伸且互為鏡像。所述通道圖案僅為舉例說明,本發明不限於此。
回溯第1圖,支撐主體152的下表面162由一或多個支撐銷174支撐。軸桿154一般從支撐主體152的下表面162延伸通過腔室底部108,並將支撐主體152耦接至彈性構件166,以施加向下壓力至支撐主體152。套筒168環繞部分的軸桿154。在一實施例中,套筒168耦接至支撐件170的底部。套筒168的底部耦接基底176。基底176具有一或多個孔洞178,而一或多個支柱180延伸通過該一或多個孔洞178。一或多個支柱180位於軸桿154底部與腔室架座182之間。彈性構件166耦接於腔室架座182和支撐主體152之間。彈性構件166包含彈簧裝置,例如板片彈簧、壓縮彈簧、平面彈簧或錐形彈簧,然也可為任何提供預期特性的彈性或可彎折接線(wire)。當支撐主體152因加熱而往上彎曲時,彈性構件166提供控制力把支撐主體152往下拉,以免支撐主體152變形,進而確保基材140的平面性。
參照第6及7圖,第6圖繪示根據本發明一實施例之真空吸座組件的底部視圖,第7圖為根據本發明一實施例的第1圖之處理腔室100和真空吸座組件150的局部放大截面圖。真空吸座組件150的下表面162具有一或多個狹縫來接合一或多個支撐銷174。在一實施例中,下表面162具有各自接合獨立支撐銷174的三狹縫610、612、614。雖然圖中顯示三狹縫610、612、614接合三支撐銷174,但應理解任何足以支撐該支撐主體152的狹縫和支撐銷數量皆可採用。當彈性構件166提供控制力把支撐主體152往下拉以減少支撐主體152變形時,支撐銷174提供向上的力量抵抗支撐主體152。穿孔616、618、620設置穿過支撐主體152,以使得基材舉升銷(未繪示)穿過支撐主體152,以抬高或降低基材至支撐表面310。插入區630設在支撐主體152的下表面162中心。插入區630可用於溫度監測裝置,例如熱電偶和功率裝置(如電連接器)。
第8A圖為根據本發明一實施例之電連接器的截面圖,第8B圖為根據本發明一實施例,具加熱元件之真空吸座組件的局部截面圖。在一實施例中,真空吸座組件150更包含至少一電連接器組件160,其包含一或多個電連接器820a、820b和插座830,且內設一或多個冷卻通道810a、810b、810c。電連接器組件160設置穿過軸桿154,用以供應功率給吸座組件150。一或多個冷卻通道810a、810b、810c用來建立空氣路徑,以提供空氣冷卻於電連接器組件160附近,進而避免電連接器組件160於退火期間過熱或熔化。
電連接器組件160包含通常耦接電極連接器822的公連接器構件820a、820b,而電極連接器822耦接加熱元件156以供應功率給加熱元件156。電連接器組件160具有互補式插座830,用以容納公連接器構件820a、820b。互補式插座830包含母蕉型(banana)連接器來容納公銷型連接器構件820a、820b,其可為互補式彈性蕉型連接器。在一實施例中,一或多個冷卻通道810設置而鄰近於公銷型連接器820a、820b。一或多個冷卻通道810a、810b、810耦接至冷卻流體供應器840。冷卻流體供應器840包含冷卻流體,例如空氣、水、乙二醇、或其組合。電連接器組件160耦接電源供應器870。
根據前述實施例,本發明具有下列優點:藉著僅平坦化突出物頂表面而簡化吸座支撐表面的平坦化、藉由縮小支撐主體表面與基材間的表面接觸面積而減少微粒污染、藉由設置突出物和彈簧系統來確保支撐表面的平面性以改善退火雷射的聚焦深度、以及利用空氣冷卻來防止電連接器過熱或熔化。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...腔室
102...主體
106...側壁
108...底部
110...視窗
112...製程容積
114...抽氣口
116...抽氣系統
118...氣源
120...氣體供應器
130...雷射
140...基材
150...吸座組件
152...支撐主體
154...軸桿
156...加熱元件
158...狹縫閥
160...電連接器組件
162...表面
166...彈性構件
168...套筒
170...支撐件
172...通道
174...支撐銷
176...基底
178...孔洞
180...支柱
182...架座
190...供應器
310、313a-b...表面
312、312a-b...突出物
314、314a-f...通道
610、612、614...狹縫
616、618、620...穿孔
630...插入區
810、810a-c...通道
820a-b...電連接器(構件)
822...電極連接器
830...插座
840...供應器
870...電源供應器
D1 ...間隙
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖繪示根據本發明一實施例,具真空吸座組件之處理腔室的截面圖;
第2圖繪示根據本發明一實施例之真空吸座組件的截面圖;
第3圖繪示根據本發明一實施例之真空吸座組件的上視圖;
第4A-4C圖繪示根據本發明一實施例之突出物的例示形狀;
第5A-5B圖繪示根據本發明一實施例之突出物的另一例示形狀;
第6圖繪示根據本發明一實施例之真空吸座組件的底部視圖;
第7圖為根據本發明一實施例,第1圖之真空吸座組件的局部放大截面圖;
第8A圖繪示根據本發明一實施例之電連接器的截面圖;以及
第8B圖繪示根據本發明一實施例,具加熱元件之真空吸座組件的局部截面圖。
為清楚說明,各圖中相同的元件符號代表相似的元件。應理解某一實施例揭露的元件當可併入其他實施例,在此不另外詳述。
100...腔室
102...主體
106...側壁
108...底部
110...視窗
112...製程容積
114...抽氣口
116...抽氣系統
118...氣源
120...氣體供應器
130...雷射
140...基材
150...吸座組件
152...支撐主體
154...軸桿
156...加熱元件
158...狹縫閥
160...電連接器組件
162...表面
166...彈性構件
168...套筒
170...支撐件
172...通道
174...支撐銷
176...基底
178...孔洞
180...支柱
182...架座
190...供應器
310...表面
312...突出物

Claims (14)

  1. 一種真空吸座(chuck)組件,包含:一支撐主體,具有用以將一基材支托於其上的一支撐表面;複數個突出物,形成於該支撐表面上,該些突出物自該支撐表面突出而在該基材與該支撐表面間產生一間隙;複數個通道,形成於該支撐表面上,以於該間隙中產生一減壓;一中空軸桿,用以支撐該支撐主體;以及至少一彈性構件,耦接於該中空軸桿,其中該至少一彈性構件設置在該中空軸桿下且當該支撐主體因變熱而往上彎曲時,該至少一彈性構件提供控制力而將該支撐主體往下拉。
  2. 如申請專利範圍第1項所述之真空吸座組件,其中該至少一彈性構件為至少一彈簧。
  3. 如申請專利範圍第1項所述之真空吸座組件,更包含:至少一電連接器組件,設置穿過該中空軸桿,其中該至少一電連接器組件具有一或更多個冷卻通道,用來建立一空氣路徑,藉以在該電連接器組件附近提供一空 氣冷卻。
  4. 如申請專利範圍第3項所述之真空吸座組件,其中該電連接器組件包含:一互補式插座,用以容納一公連接器構件,其中該一或多個冷卻通道設置而鄰近於該公連接器構件。
  5. 如申請專利範圍第1項所述之真空吸座組件,其中該些通道係形成為一對稱圖案,以在該基材上施加一均勻吸力。
  6. 如申請專利範圍第5項所述之真空吸座組件,其中該些通道係形成為一圖案,該圖案包含:一圓形通道;一直線通道;以及二對傾斜通道,其中該直線通道係形成在該圓形通道的一直徑(diametrically),該二對傾斜通道分別自該直線通道延伸且互為鏡像。
  7. 如申請專利範圍第1項所述之真空吸座組件,其中該些突出物的一高度為10微米~50微米。
  8. 如申請專利範圍第7項所述之真空吸座組件,其中該些突出物的一直徑為500微米~5000微米。
  9. 如申請專利範圍第8項所述之真空吸座組件,其中該些突出物的一高度為25微米。
  10. 如申請專利範圍第1項所述之真空吸座組件,其中該支撐表面上形成有100~500個突出物。
  11. 如申請專利範圍第1項所述之真空吸座組件,其中該些突出物呈一半球狀且具有一平坦化頂表面。
  12. 如申請專利範圍第3項所述之真空吸座組件,其中該支撐主體內封有至少一嵌設加熱元件,其中該加熱元件透過該電連接器組件而耦接至一功率源,且可控制地加熱該支撐主體和放置於其上的該基材達一預定溫度。
  13. 如申請專利範圍第12項所述之真空吸座組件,其中該些通道係形成為一圖案,該圖案包含:一圓形通道;一直線通道;以及二對傾斜通道,其中該直線通道形成在該圓形通道的一直徑,該二對傾斜通道分別自該直線通道延伸且互為鏡像。
  14. 如申請專利範圍第1項所述之真空吸座組件,其中該支撐主體的一下表面具有一或多個狹縫,用以接合一或 更多個支撐銷,其中當該彈性構件提供一控制力而將該支撐主體往下拉以減少該支撐主體的變形時,該一或更多個支撐銷提供一向上力量抵抗該支撐主體。
TW098101435A 2008-01-15 2009-01-15 高溫真空吸座組件 TWI454336B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/014,535 US8198567B2 (en) 2008-01-15 2008-01-15 High temperature vacuum chuck assembly

Publications (2)

Publication Number Publication Date
TW200940246A TW200940246A (en) 2009-10-01
TWI454336B true TWI454336B (zh) 2014-10-01

Family

ID=40849945

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098101435A TWI454336B (zh) 2008-01-15 2009-01-15 高溫真空吸座組件

Country Status (5)

Country Link
US (2) US8198567B2 (zh)
EP (1) EP2235748B1 (zh)
JP (1) JP5481390B2 (zh)
TW (1) TWI454336B (zh)
WO (1) WO2009091640A2 (zh)

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
CN102077318B (zh) * 2008-06-26 2013-03-27 株式会社Ihi 激光退火方法及装置
JP5540476B2 (ja) * 2008-06-30 2014-07-02 株式会社Ihi レーザアニール装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8424858B2 (en) * 2009-09-02 2013-04-23 GM Global Technology Operations LLC Flexible fixture system for machining operations
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8519729B2 (en) 2010-02-10 2013-08-27 Sunpower Corporation Chucks for supporting solar cell in hot spot testing
US20130334199A1 (en) 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN102615520A (zh) * 2012-03-30 2012-08-01 浙江大学宁波理工学院 复合材料薄壁件夹具及使用该夹具加工复合材料的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103464800A (zh) * 2013-09-23 2013-12-25 苏州凯欧机械科技有限公司 一种浮动式的新型薄壁件车削定位装置
JP6279269B2 (ja) * 2013-09-25 2018-02-14 日本特殊陶業株式会社 真空吸着装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150163860A1 (en) * 2013-12-06 2015-06-11 Lam Research Corporation Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6637321B2 (ja) * 2016-02-03 2020-01-29 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR101958694B1 (ko) * 2016-03-03 2019-03-19 에이피시스템 주식회사 Ela 장치용 기판 지지모듈
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10468290B2 (en) * 2016-11-02 2019-11-05 Ultratech, Inc. Wafer chuck apparatus with micro-channel regions
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD859331S1 (en) * 2017-03-31 2019-09-10 Ebara Corporation Vacuum contact pad
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019081174A1 (en) 2017-10-27 2019-05-02 Asml Holding N.V. BURLS HAVING MODIFIED SURFACE TOPOGRAPHY FOR HOLDING AN OBJECT IN LITHOGRAPHIC APPLICATIONS
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN109817558B (zh) * 2019-01-21 2021-07-16 北京华卓精科科技股份有限公司 一种用于匹配光学焦深范围的晶圆表面姿态简易调节结构及其调节方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102226270B1 (ko) * 2019-04-03 2021-03-09 세메스 주식회사 기판지지 모듈
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113826045A (zh) 2019-04-30 2021-12-21 Asml荷兰有限公司 用于在本体上提供耐磨材料的方法、以及复合体
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11776840B2 (en) * 2019-10-29 2023-10-03 Canon Kabushiki Kaisha Superstrate chuck, method of use, and method of manufacturing an article
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112496791A (zh) * 2020-11-24 2021-03-16 吴斌 一种用于零件制造的精密车床
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11801586B2 (en) 2021-03-02 2023-10-31 Extol Inc. Laser part retention system and method
KR20220132708A (ko) * 2021-03-23 2022-10-04 삼성디스플레이 주식회사 레이저 가공용 워크 테이블
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02304449A (ja) * 1989-05-19 1990-12-18 Hitachi Electron Eng Co Ltd 基板チャック機構
TW436381B (en) * 1999-04-02 2001-05-28 Applied Materials Inc Improved CMP platen with patterned surface background of the invention
TW461009B (en) * 1999-01-13 2001-10-21 Applied Materials Inc Electrostatic chuck with improved temperature control and puncture resistance
TW466667B (en) * 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
US20010054390A1 (en) * 1995-09-01 2001-12-27 Halpin Michael W. Wafer support system
US20020158060A1 (en) * 2000-02-28 2002-10-31 Kyoji Uchiyama Wafer heating apparatus and ceramic heater, and method for producing the same
CN1768413A (zh) * 2003-03-28 2006-05-03 艾克塞利斯技术公司 用于快速热处理的气体冷却的夹具
JP2006121054A (ja) * 2004-09-15 2006-05-11 Applied Materials Inc Pecvdサセプタ支持構造体
TWM318185U (en) * 2006-05-03 2007-09-01 Applied Materials Inc Substrate support suitable for using in a semiconductor processing chamber
TWI286362B (en) * 2003-03-12 2007-09-01 Applied Materials Inc Substrate support lift mechanism

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4609037A (en) * 1985-10-09 1986-09-02 Tencor Instruments Apparatus for heating and cooling articles
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPH0784162B2 (ja) 1988-07-25 1995-09-13 東海興業株式会社 ウェザーストリップ、及びその成形方法
US5348497A (en) * 1992-08-14 1994-09-20 Applied Materials, Inc. High voltage vaccum feed-through electrical connector
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
JP3162886B2 (ja) 1993-09-28 2001-05-08 東京エレクトロン株式会社 処理装置
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6019164A (en) * 1997-12-31 2000-02-01 Temptronic Corporation Workpiece chuck
US6072685A (en) * 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
JP4430769B2 (ja) * 1999-12-09 2010-03-10 信越化学工業株式会社 セラミックス加熱治具
JP2001332609A (ja) * 2000-03-13 2001-11-30 Nikon Corp 基板保持装置及び露光装置
JP2002057209A (ja) 2000-06-01 2002-02-22 Tokyo Electron Ltd 枚葉式処理装置および枚葉式処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6753507B2 (en) * 2001-04-27 2004-06-22 Kyocera Corporation Wafer heating apparatus
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
JP4248341B2 (ja) 2003-08-18 2009-04-02 日本電子株式会社 被照射物保持機構
US7361865B2 (en) * 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7126093B2 (en) * 2005-02-23 2006-10-24 Ngk Insulators, Ltd. Heating systems
KR20060122557A (ko) 2005-05-27 2006-11-30 삼성전자주식회사 반도체 제조 장치의 진공 척
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4781867B2 (ja) * 2006-03-23 2011-09-28 大日本スクリーン製造株式会社 熱処理装置
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02304449A (ja) * 1989-05-19 1990-12-18 Hitachi Electron Eng Co Ltd 基板チャック機構
US20010054390A1 (en) * 1995-09-01 2001-12-27 Halpin Michael W. Wafer support system
TW461009B (en) * 1999-01-13 2001-10-21 Applied Materials Inc Electrostatic chuck with improved temperature control and puncture resistance
TW436381B (en) * 1999-04-02 2001-05-28 Applied Materials Inc Improved CMP platen with patterned surface background of the invention
US20020158060A1 (en) * 2000-02-28 2002-10-31 Kyoji Uchiyama Wafer heating apparatus and ceramic heater, and method for producing the same
TW466667B (en) * 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
TWI286362B (en) * 2003-03-12 2007-09-01 Applied Materials Inc Substrate support lift mechanism
CN1768413A (zh) * 2003-03-28 2006-05-03 艾克塞利斯技术公司 用于快速热处理的气体冷却的夹具
JP2006121054A (ja) * 2004-09-15 2006-05-11 Applied Materials Inc Pecvdサセプタ支持構造体
TWM318185U (en) * 2006-05-03 2007-09-01 Applied Materials Inc Substrate support suitable for using in a semiconductor processing chamber

Also Published As

Publication number Publication date
US8698048B2 (en) 2014-04-15
JP2011510488A (ja) 2011-03-31
US20120205878A1 (en) 2012-08-16
WO2009091640A2 (en) 2009-07-23
EP2235748A2 (en) 2010-10-06
EP2235748B1 (en) 2017-09-06
US8198567B2 (en) 2012-06-12
JP5481390B2 (ja) 2014-04-23
EP2235748A4 (en) 2011-08-10
TW200940246A (en) 2009-10-01
WO2009091640A3 (en) 2009-10-08
US20090179365A1 (en) 2009-07-16

Similar Documents

Publication Publication Date Title
TWI454336B (zh) 高溫真空吸座組件
JP5528394B2 (ja) プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
JP6296299B2 (ja) プラズマ処理装置およびプラズマ処理方法
US20150228528A1 (en) Chucking capability for bowed wafers on dsa
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
JP5029435B2 (ja) 載置台構造及び熱処理装置
JP6094813B2 (ja) プラズマ処理装置
TWI823865B (zh) 用於減少損壞的基板背面的基板支撐件
JP5962921B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2009182139A (ja) 載置台構造及び処理装置
KR100934403B1 (ko) 냉각 수단을 구비한 서셉터
JP6340655B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2018117128A (ja) プラズマ処理装置およびプラズマ処理方法
JP2017069353A (ja) 基板処理装置及び基板処理方法
JP2015050333A (ja) プラズマ処理装置及びプラズマ処理方法
US20230402311A1 (en) Tab arrangement for retaining support elements of substrate support
JP6226118B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN112614768A (zh) 基板支承台和等离子体处理装置
JP2024046742A (ja) シャワーヘッド及びこれを含む基板処理装置
KR20240041794A (ko) 샤워 헤드 및 이를 포함하는 기판 처리 장치
KR20220024045A (ko) 기판 후면 손상을 최소화하기 위한 방법들 및 장치
JP6226117B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2021182611A (ja) 基板処理装置及び基板処理装置の処理方法