JP2011510488A - 高温真空チャックアセンブリ - Google Patents

高温真空チャックアセンブリ Download PDF

Info

Publication number
JP2011510488A
JP2011510488A JP2010542317A JP2010542317A JP2011510488A JP 2011510488 A JP2011510488 A JP 2011510488A JP 2010542317 A JP2010542317 A JP 2010542317A JP 2010542317 A JP2010542317 A JP 2010542317A JP 2011510488 A JP2011510488 A JP 2011510488A
Authority
JP
Japan
Prior art keywords
vacuum chuck
chuck assembly
support
support body
channels
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010542317A
Other languages
English (en)
Other versions
JP5481390B2 (ja
Inventor
アレクサンダー エヌ ラーナー
ブレイク コエルメル
メヘラン ベヘドジャト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011510488A publication Critical patent/JP2011510488A/ja
Application granted granted Critical
Publication of JP5481390B2 publication Critical patent/JP5481390B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25BTOOLS OR BENCH DEVICES NOT OTHERWISE PROVIDED FOR, FOR FASTENING, CONNECTING, DISENGAGING OR HOLDING
    • B25B11/00Work holders not covered by any preceding group in the subclass, e.g. magnetic work holders, vacuum work holders
    • B25B11/005Vacuum work holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/11Vacuum

Abstract

真空チャック及びこの真空チャックを備えた処理チャンバを提供する。真空チャックアセンブリは、支持体本体と、複数の突起と、複数のチャネルと、支持体本体を支持する少なくとも1つの支持部材と、支持部材に連結された少なくとも1つの弾性部材と、支持体本体を支持する中空シャフトと、中空シャフト内に配置された少なくとも1つの電気コネクタと空冷装置を備える。支持体本体は、基板(ウェハ等)を保持するための支持面を有する。基板と支持面との間に間隙を形成するために突起が支持面上に形成され、また支持面から突出している。間隙内を減圧するために支持面にはチャネルが形成される。空冷装置を使用することによって、電気コネクタ付近を空冷する。

Description

発明の背景
(技術分野)
本発明の実施形態は概して、半導体製造、特には処理チャンバにおいて使用するための真空チャックアセンブリに関する。
(関連技術の説明)
集積回路(IC)の製造においては基板を高温に加熱するため、様々な化学的及び/又は物理的な反応が起こる。熱処理は通常、基板の加熱に使用される。典型的な熱処理(例えば、アニーリング)では、比較的大量の熱エネルギーを短時間で基板に供給し、その後、ウェハを急冷することによって熱処理を終了することを必要とする。現在使用されている熱処理の例には、急速加熱処理(Rapid Thermal Processing:RTP)、インパルス(スパイク)アニーリング、走査レーザーアニール技法及びパルスレーザー技法が含まれる。加熱された真空チャックは一般に、これらの熱処理中に処理チャンバ内で基板を固定するのに使用される。
基板表面の平坦性は、集積回路の製造を通じて、特にパルスレーザーアニール処理(動的表面アニール(dynamic surface anneal:DSA)処理等)中、極めて重要である。DSA処理中、基板をレーザーが発する放射線で走査することによってアニーリング処理を促進する。アニールレーザーの焦点深度は、ウェハ表面の平坦性を含む幾つかの要因に大きく左右される。このため、ウェハを保持する真空チャックの支持面は、可能な限り平坦でなくてはならない。ウェハ表面の平坦性を確保するために真空チャックの支持面全体を平坦化にするにあたっては、総じて多大な労力を要する。加えて、加熱された真空チャックは、チャック中心部の温度が上昇しチャック周縁部で熱を損失するに従って上方向に湾曲する傾向が強い。チャックの反りは、その上に保持されたウェハの反りを引き起こす場合があり、ウェハ表面の平坦性が大幅に低下する。また、加熱された真空チャックに連結された電気コネクタが、処理チャンバにおける過熱によって損傷したり溶融する場合がある。
従って、真空チャックが有する上記の欠点を改善するための真空チャックアセンブリ及びこの真空チャックアセンブリを備えた処理チャンバを得ることが望ましい。
本発明の実施形態は概して、半導体製造、特には処理チャンバで使用するための真空チャックアセンブリに関する。
本発明の一実施形態により、ウェハ等の基板を保持するための支持面を有する支持体本体と、この支持体本体を支持する少なくとも1つの支持部材と、この少なくとも1つの支持部材に連結された少なくとも1つの弾性部材とを備える真空チャックアセンブリが提供される。加熱中、支持体本体は上方向に湾曲し、弾性部材は、支持体本体を下方向に引っ張ることでチャックの上方向への湾曲に対抗する制御力を付与する。
別の実施形態において、真空チャックアセンブリは更に、基板を保持するための支持面を有する支持体本体と、この支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出した複数の突起と、基板の背面に沿って低い圧力を均一に分布させるための、支持面上に形成された複数のチャネルとを備える。
別の実施形態において、真空チャックアセンブリは更に、中空シャフトを通して配置された少なくとも1つの電気コネクタアセンブリを備え、この電気コネクタアセンブリは電気コネクタと、電気コネクタ付近を空冷することによって電気コネクタの過熱を防止するための1本以上の冷却チャネルを有するレセプタクルとを備える。
別の実施形態により、真空チャックアセンブリを備えた処理チャンバが提供される。処理チャンバは、チャンバ取り付け台と、基板を保持するための支持面を有する支持体本体と、この支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出した複数の突起とを備え、各突起は、基板と接触する平坦化された上面を有し、チャンバは更に、基板の背面に沿って圧力を均一に分布させるための支持面上に形成された複数のチャネルと、支持体本体を支持する少なくとも1つの支持部材と、チャンバ取り付け台と少なくとも1つの支持部材との間に連結された少なくとも1つの弾性部材とを備える。
本発明の上記の構成が詳細に理解されるように、上記で簡単に要約した本発明のより具体的な説明を実施形態を参照して行う。実施形態の一部は添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態しか図示しておらず、本発明はその他の同等に効果的な実施形態も含み得ることから、本発明の範囲を制限すると解釈されないことに留意すべきである。
本発明の一実施形態による真空チャックアセンブリを備えた処理チャンバの概略断面図である。 本発明の一実施形態による真空チャックアセンブリの概略断面図である。 本発明の一実施形態による真空チャックアセンブリの概略上面図である。 本発明の一実施形態による突起の例示的な形状の概略図である。 本発明の一実施形態による突起の別の例示的な形状の概略図である。 本発明の一実施形態よる真空チャックアセンブリの概略底面図である。 本発明の一実施形態による図1の真空チャックアセンブリの拡大部分断面図である。 本発明の一実施形態による電気コネクタの概略断面図である。 本発明の一実施形態による加熱要素を備えた真空チャックアセンブリの概略部分断面図である。
円滑な理解のために、可能な限り、図面で共通する同一要素は同一参照番号を使用して表した。一実施形態において開示の要素は、特に記載することなく、その他の実施形態で便宜上利用することも考えられる。
詳細な説明
本発明の実施形態は概して、加熱の結果としての支持体本体の湾曲を相殺することによって支持面の平坦性を確保するのに使用される弾性部材に関する。本発明の実施形態は更に、空冷を利用することによって、アニーリング処理中の電気コネクタの過熱及び溶融を防止する電気コネクタアセンブリを含む。本発明の実施形態は更に、支持面と基板(ウェハ等)との表面接触面積を減少させることによって基板の平坦性を確保し且つアニールレーザーの焦点深度を改善するための、支持体本体の支持面上に形成された複数の突起を含む。
本発明を、レーザー表面アニールチャンバに関連させて以下にて説明する。しかしながら、本発明で開示の教示は、高温で稼動させるその他のタイプのチャンバを含む多種多様な処理チャンバ、特に、レーザーをアニーリング手段として使用する、半導体ウェハ処理システムの一部となり得る処理チャンバに有益である(カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なセンチュラ(CENTURA、商標名)等)。その他の製造業者から入手可能なものを含めたその他の処理チャンバを本発明が有益となるように構成することも考えられる。
図1及び図2を参照するが、図1は本発明の一実施形態による真空チャックアセンブリ150を備えた処理チャンバ100の概略断面図であり、図2は、本発明の一実施形態による真空チャックアセンブリ150の概略断面図である。真空チャックアセンブリ150は、基板の平坦性を維持することによって基板処理の向上を促進する。
一実施形態において、処理チャンバ100はレーザーアニールチャンバである。処理チャンバ100はチャンバ本体102を備える。チャンバ本体102は、処理容積112を画成する側壁106と、底部108とウィンドウ110とを有する。処理容積112には、典型的には、側壁106のスリットバルブ158を介してアクセスし、このスリットバルブは基板140(以下、基板140と称する)のチャンバ本体102内外への移動を促進する。特定の実施形態において、基板140はウェハであり、例えば半導体処理において使用されるウェハである。チャンバ本体102の側壁106及び底部108は一般に、アルミニウム又は処理中に起こる化学反応に耐えるその他の材料の単塊から作製される。チャンバ100の底部108は支持片170を備え、支持片170はその内部に形成された1本以上の冷却チャネル172を有する。1本以上の冷却チャネル172は、冷却液又はガスを1本以上の冷却チャネル172に供給するように構成された冷却流体供給源190に連結される。支持片170はステンレススチールを含み得る。一実施形態において、支持片170は、放射率を上昇させるために、基板の背面に面した光学反射性の表面を有する。1本以上の支持ピン174が、支持片170の表面に連結され且つ支持片170の表面から上方に延びる。チャンバ100の底部108は、底部108を貫通して形成されたポンピングポート114を有し、このポンピングポート114によって処理容積112がポンピングシステム116に連結され、処理容積112内の圧力の制御並びに処理中のガス及び副生成物の排出が円滑に行なわれる。
ウィンドウ110はチャンバ本体102の側壁106によって支持され、またチャンバ100の内部の点検の際に取り外し可能である。一実施形態において、ウィンドウ110は、石英等の材料を含む。
処理ガス及びその他のガスを、ガス供給源120に連結されたガス源118から処理容積112に導入し得る。一実施形態において、ガス源118は、基板140の表面全体にガスが均一に流れるように位置決めされる。一実施形態において、ガス源は側壁106内に位置決めされる。
レーザー130はウィンドウ110上方に配置される。本願に記載の実施形態で使用し得るレーザーについては、2002年4月18日に出願され、共通して譲渡された米国特許出願第10/126419号であり、米国特許第7078651号として発行された「Thermal Flux Process by Scanning」に詳しく記載されており、この文献は引用により本願に組み込まれる。一実施形態において、レーザー110は、レーザー110を基板140の表面全体に亘って移動させるための平行移動機構に連結される。
真空チャックアセンブリ150は、チャンバ本体102内の中央に配置され、処理中、基板140を支持する。真空チャックアセンブリ150は一般に、チャンバ底部108を貫通して延びるシャフト154によって支持された支持体本体152を含む。支持体本体152は一般に円形であり、石英、セラミック(例えば、アルミナ)等の材料又はこれらの組み合わせから作製される。一実施形態において、支持体本体152には、少なくとも1つの埋設加熱要素156が封入される。加熱要素156(電極、抵抗加熱要素等)は、電気コネクタアセンブリ160を介して電源に連結されており、支持体本体152及びその上に位置決めされた基板140を既定の温度にまで制御下で加熱する。一実施形態において、加熱要素156は基板140を、処理中、約20℃〜750℃に加熱する。
図3は、本発明の一実施形態による真空チャックアセンブリの概略上面図である。支持体本体152は、基板140を支持するための上面又は支持面310を有する。複数の突起312が支持面310上に形成され、処理中、この上に基板140が載置される。
一実施形態において、突起312は全て同じ高さに形成され、基板140の背面と接触する平坦化された上面を有し、突起312の上面の面積の合計は支持面310の面積よりはるかに小さいことから、支持体本体152と基板140との接触面積が小さくなる。支持体本体152と基板140との接触面積が小さく、この実施形態は、慣用の真空チャックにおいて行なっていたような支持面310全体の平坦化ではなく突起の平坦化しか必要としないことから、支持面310の平坦化が簡略化される。更に、支持体本体と基板との接触面積が小さいと、支持体本体152の支持面310によって引き起こされる粒子汚染の可能性が低下する。一実施形態において、突起312の高さは約10ミクロン〜約50ミクロン、例えば約25ミクロンであり、突起312の幅又は直径は約500ミクロン〜約5000ミクロンである。一実施形態において、複数の突起312及び支持面310は一体であり、例えば支持体本体152の表面の機械加工又はビードブラスト加工によって形成される。別の実施形態において、複数の突起312は別に形成され、当該分野で公知の取り付け技法(ロウ付け、接着剤での接合等)を使用して支持面310に連結される。別の実施形態において、突起は、堆積法及びマスクパターンを使用して支持面上に堆積される。一実施形態において、支持面310は直径300mmであり、上に載置する基板の背面の表面積の約10%を占める100〜500個、例えば150〜200個の突起を有する。一実施形態において、突起312は支持面310全体に実質的に直線的に配置される。別の実施形態において、突起312は、支持面の中心から広がる放射状パターンに配置される。別の実施形態において、突起は、支持面310全体に広がるx−y格子状パターンに配置される。一実施形態において、支持面310は直径200mmである。図では円形としたが、支持面310が正方形や長方形といったその他の形状も含み得ることを理解すべきである。
図4A〜4C、5A及び5Bは、本発明の実施形態に応用可能な突起の例示的な形状を示す。基板に傷がつくのを防止するため、突起の形状は、図4Aにおける平坦化された上面313aを有する半球様の突起312a等であり、図4Bに示されるような円形の土台上又は図4Cに示されるような四角形の土台上に形成することもでき、或いは角を丸めた上面を有する四角形の土台、例えば図5A及び5Bに示されるような平坦化された上面313bを有する突起312bである。上記の突起の形状、サイズ及びパターンは例として挙げたにすぎず、本発明はこれに限定されない。複数の突起312は、円筒形のマウンド、ポスト、角錐、円錐、矩形ブロック、様々なサイズの突起、レーザーアニール処理中の焦点深度を向上させるその他いずれの形状及びこれらの組み合わせを含み得る。
図1及び図3に戻るが、突起312は、基板140と支持体本体152の支持面310との間に間隙D1を形成する。一実施形態においては、複数のチャネル314が支持面310上に形成され、真空ポンプ(図示せず)に接続して間隙D1内を減圧することによって基板140を支持体本体152上に固定する。チャネル314は、好ましくは、基板140に均等に吸引力を加えるために対称的なパターンに形成される。図3に示されるように、チャネル314は、円形チャネル314aと、直線チャネル314bと2対の傾斜チャネル314c/314d、314e/314fとから構成されるパターンに形成される。直線チャネル314bは、円形チャネル314a内で直径方向に形成され、傾斜チャネル対314c/314d及び314e/314fは直線チャネル314bからそれぞれ延び且つ互いに鏡対称となる。本願に記載のチャネルパターンは例として挙げたにすぎず、本発明はこれに限定されない。
図1に戻るが、支持体本体152の下面162は、1本以上の支持ピン174によって支持される。一般に、シャフト154は支持体本体152の下面162からチャンバ底部108を貫通して延びており、支持体本体152を、支持体本体152に下方向の力を加える弾性部材166に連結している。スリーブ168は、シャフト154の一部を取り囲む。一実施形態において、スリーブ168は支持片170の底部に連結される。スリーブ168の底部は、ベース176に連結される。ベース176は1個以上の穴178を有し、この穴を通って1本以上のポスト180が延びている。1本以上のポスト180は、シャフト154の底部とチャンバ取り付け台182との間に位置決めされる。弾性部材166は、チャンバ取り付け台182と支持体本体152との間に連結される。弾性部材166は、板ばね、圧縮ばね、薄板ばね、円錐コイルばね等のばね装置を含み得るが、望ましい特性を付与するいずれの弾性又は曲げることのできるワイヤであってもよい。支持体本体152が加熱の結果として上方向に湾曲すると、弾性部材166がその制御力によって支持体本体152を下方向に引っ張って反りを予防し、その結果、基板140の平坦性も確保される。
図6及び図7を参照するが、図6は、本発明の一実施形態による真空チャックアセンブリの底面図であり、図7は本発明の一実施形態による図1の処理チャンバ100及び真空チャックアセンブリ150の拡大部分断面図である。真空チャックアセンブリ150の下面162は、1本以上の支持ピン174と係合する1つ以上のスロットを有する。一実施形態において、下面162は3つのスロット610、612、614を有し、各スロットは各支持ピン174と係合するように構成される。3つのスロット610、612、614は3本の支持ピン174と係合するように図示されているが、支持体本体152を支持するのに十分ないずれの数のスロット及び支持ピンも使用し得ることを理解すべきである。弾性部材166がその制御力でもって支持体本体152を下方向に引っ張って支持体本体152の反りを軽減する際、支持ピン174が支持体本体152に対する上方向の力を付与する。貫通孔616、618、620が支持体本体152を貫通して形成されているため、基板昇降ピン(図示せず)を支持体本体152に通して基板を支持面310から上昇させたり下降させたりすることができる。支持体本体152の下面162の中心には、挿入領域630が形成される。挿入領域630は、熱電対等の温度監視装置及び電気コネクタ等の電源装置のために使用し得る。
図8Aは、本発明の一実施形態による電気コネクタの断面図であり、図8Bは、本発明の一実施形態による加熱要素を備えた真空チャックアセンブリの部分断面図である。一実施形態において、真空チャックアセンブリ150は更に、少なくとも1つの電気コネクタアセンブリ160を備え、このアセンブリ160は1つ以上の電気コネクタ820a、820b及びレセプタクル830を備え、レセプタクル830はその内部に形成された1本以上の冷却チャネル810a、810b、810cを有する。電気コネクタアセンブリ160は、電力をチャックアセンブリ150に供給するためにシャフト154を通して配置される。1本以上の冷却チャネル810a、810b、810cを使用して空気の流路とすることによって電気コネクタアセンブリ160付近の空冷を行い、これによって電気コネクタアセンブリ160の加熱中の過熱又は溶融を防止する。
電気コネクタアセンブリ160は雄型コネクタ部材820a、820bを備え、部材820a、820bは一般に電極コネクタ822に連結され、電極コネクタ822は、電力を加熱要素156に供給するために加熱要素156に連結される。電気コネクタアセンブリ160は、雄型コネクタ部材820a、820bを受容するための相補的なレセプタクル830を有する。この相補的なレセプタクル830は、雄型ピンコネクタ部材820a、820bを受容するための、相補的な弾性バナナコネクタであってもよい雌型バナナコネクタを含み得る。一実施形態において、1本以上の冷却チャネル810は、雄型ピンコネクタ820a、820bに隣接して配置される。1本以上の冷却チャネル810a、810b、810cは冷却流体供給源840に連結される。冷却流体供給源840は、空気、水、グリコール又はこれらの組み合わせ等の冷却流体を含む。電気コネクタアセンブリ160は、電源870に連結される。
上記の実施形態により、本発明は、突起の上面を平坦化するだけでチャック支持面の平坦化を簡略化し、支持体本体表面と基板との表面接触面積を減少させることによって粒子汚染を軽減し、突起とバネ系の設置を通して支持面の平坦性を確保することによってアニールレーザーの焦点深度を改善し、空冷を通して電気コネクタの過熱又は溶融を防止するという利点を有する。
上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態は本発明の基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 基板を保持するための支持面を有する支持体本体と、
    支持面上に形成された、基板と支持面との間に間隙を形成するための支持面から突出する複数の突起と、
    間隙内を減圧するための、支持面上に形成された複数のチャネルと、
    支持体本体を支持するための少なくとも1つの支持部材と、
    少なくとも1つの支持部材に連結された少なくとも1つの弾性部材とを備える真空チャックアセンブリ。
  2. 少なくとも1つの弾性部材が、支持体本体が加熱の結果として上方向に湾曲した場合に支持体本体を下方向に引っ張る制御力を付与する請求項1記載の真空チャックアセンブリ。
  3. 少なくとも1つの弾性部材が少なくとも1つのばねである請求項2記載の真空チャックアセンブリ。
  4. 支持体本体を支持するための中空シャフトと、
    中空シャフト内に配置された少なくとも1つの電気コネクタアセンブリとを更に備え、少なくとも1つの電気コネクタアセンブリが、空気の流路となって電気コネクタアセンブリ付近の空冷を行なう1本以上の冷却チャネルを有する請求項1記載の真空チャックアセンブリ。
  5. 電気コネクタアセンブリが、
    雄型コネクタ部材を受容するための相補的なレセプタクルを備え、1本以上の冷却チャネルが雄型コネクタ部材に隣接して配置される請求項4記載の真空チャックアセンブリ。
  6. 複数のチャネルが、基板に均等に吸引力を加えるために対称的なパターンに形成される請求項1記載の真空チャックアセンブリ。
  7. 複数のチャネルが、
    円形チャネルと、
    直線チャネルと、
    2対の傾斜チャネルとを含むパターンに形成され、直線チャネルが円形チャネル内で直径方向に形成され、傾斜チャネル対が直線チャネルからそれぞれ延び且つ互いに鏡対称となる請求項6記載の真空チャックアセンブリ。
  8. 突起が10ミクロン〜50ミクロンの高さを有する請求項1記載の真空チャックアセンブリ。
  9. 突起が500ミクロン〜5000ミクロンの直径を有する請求項8記載の真空チャックアセンブリ。
  10. 突起が25ミクロンの高さを有する請求項9記載の真空チャックアセンブリ。
  11. 100〜500個の突起が支持面上に形成される請求項1記載の真空チャックアセンブリ。
  12. 突起が平坦化された上面を備えた半球形状を有する請求項1記載の真空チャックアセンブリ。
  13. 支持体本体に少なくとも1つの埋設加熱要素が封入され、加熱要素が電気コネクタアセンブリを介して電源に連結され、支持体本体及びその上に位置決めされた基板を既定の温度にまで制御下で加熱する請求項4記載の真空チャックアセンブリ。
  14. 複数のチャネルが、
    円形チャネルと、
    直線チャネルと、
    2対の傾斜チャネルとを含むパターンに形成され、直線チャネルが円形チャネル内で直径方向に形成され、傾斜チャネル対が直線チャネルからそれぞれ延び且つ互いに鏡対称となる請求項13記載の真空チャックアセンブリ。
  15. 支持体本体の下面が、1本以上の支持ピンと係合する1つ以上のスロットを有し、1本以上の支持ピンが、弾性部材がその制御力でもって支持体本体を下方向に引っ張って支持体本体の反りを軽減する際に、支持体本体に対する上方向の力を付与する請求項1記載の真空チャックアセンブリ。
JP2010542317A 2008-01-15 2009-01-07 高温真空チャックアセンブリ Active JP5481390B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/014,535 2008-01-15
US12/014,535 US8198567B2 (en) 2008-01-15 2008-01-15 High temperature vacuum chuck assembly
PCT/US2009/030263 WO2009091640A2 (en) 2008-01-15 2009-01-07 High temperature vacuum chuck assembly

Publications (2)

Publication Number Publication Date
JP2011510488A true JP2011510488A (ja) 2011-03-31
JP5481390B2 JP5481390B2 (ja) 2014-04-23

Family

ID=40849945

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010542317A Active JP5481390B2 (ja) 2008-01-15 2009-01-07 高温真空チャックアセンブリ

Country Status (5)

Country Link
US (2) US8198567B2 (ja)
EP (1) EP2235748B1 (ja)
JP (1) JP5481390B2 (ja)
TW (1) TWI454336B (ja)
WO (1) WO2009091640A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015065327A (ja) * 2013-09-25 2015-04-09 太平洋セメント株式会社 真空吸着装置
JP2017139313A (ja) * 2016-02-03 2017-08-10 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
KR20200117214A (ko) * 2019-04-03 2020-10-14 세메스 주식회사 기판지지 모듈
JP2021500596A (ja) * 2017-10-27 2021-01-07 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィアプリケーション内でオブジェクトを保持するための改変された表面トポグラフィを伴うバール
JP2022530200A (ja) * 2019-04-30 2022-06-28 エーエスエムエル ネザーランズ ビー.ブイ. 構造体に耐摩耗材料を提供するための方法、及び複合体

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
WO2009157373A1 (ja) * 2008-06-26 2009-12-30 株式会社Ihi レーザアニール方法及び装置
JP5540476B2 (ja) * 2008-06-30 2014-07-02 株式会社Ihi レーザアニール装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8424858B2 (en) * 2009-09-02 2013-04-23 GM Global Technology Operations LLC Flexible fixture system for machining operations
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8519729B2 (en) 2010-02-10 2013-08-27 Sunpower Corporation Chucks for supporting solar cell in hot spot testing
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8988848B2 (en) * 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN102615520A (zh) * 2012-03-30 2012-08-01 浙江大学宁波理工学院 复合材料薄壁件夹具及使用该夹具加工复合材料的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103464800A (zh) * 2013-09-23 2013-12-25 苏州凯欧机械科技有限公司 一种浮动式的新型薄壁件车削定位装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150163860A1 (en) * 2013-12-06 2015-06-11 Lam Research Corporation Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR101958694B1 (ko) * 2016-03-03 2019-03-19 에이피시스템 주식회사 Ela 장치용 기판 지지모듈
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10468290B2 (en) * 2016-11-02 2019-11-05 Ultratech, Inc. Wafer chuck apparatus with micro-channel regions
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD859331S1 (en) * 2017-03-31 2019-09-10 Ebara Corporation Vacuum contact pad
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11955362B2 (en) 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN109817558B (zh) * 2019-01-21 2021-07-16 北京华卓精科科技股份有限公司 一种用于匹配光学焦深范围的晶圆表面姿态简易调节结构及其调节方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11776840B2 (en) * 2019-10-29 2023-10-03 Canon Kabushiki Kaisha Superstrate chuck, method of use, and method of manufacturing an article
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112496791A (zh) * 2020-11-24 2021-03-16 吴斌 一种用于零件制造的精密车床
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11801586B2 (en) 2021-03-02 2023-10-31 Extol Inc. Laser part retention system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02304449A (ja) * 1989-05-19 1990-12-18 Hitachi Electron Eng Co Ltd 基板チャック機構
JP2006121054A (ja) * 2004-09-15 2006-05-11 Applied Materials Inc Pecvdサセプタ支持構造体

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4609037A (en) * 1985-10-09 1986-09-02 Tencor Instruments Apparatus for heating and cooling articles
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPH0784162B2 (ja) 1988-07-25 1995-09-13 東海興業株式会社 ウェザーストリップ、及びその成形方法
US5348497A (en) * 1992-08-14 1994-09-20 Applied Materials, Inc. High voltage vaccum feed-through electrical connector
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
JP3162886B2 (ja) 1993-09-28 2001-05-08 東京エレクトロン株式会社 処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6019164A (en) * 1997-12-31 2000-02-01 Temptronic Corporation Workpiece chuck
US6072685A (en) * 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6151203A (en) 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
KR20000062459A (ko) * 1999-01-13 2000-10-25 조셉 제이. 스위니 온도 제어 및 파열 저항성이 개선된 정전기 척
US6220942B1 (en) * 1999-04-02 2001-04-24 Applied Materials, Inc. CMP platen with patterned surface
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
JP4430769B2 (ja) * 1999-12-09 2010-03-10 信越化学工業株式会社 セラミックス加熱治具
US6534751B2 (en) * 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
JP2001332609A (ja) * 2000-03-13 2001-11-30 Nikon Corp 基板保持装置及び露光装置
JP2002057209A (ja) 2000-06-01 2002-02-22 Tokyo Electron Ltd 枚葉式処理装置および枚葉式処理方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
TW466667B (en) * 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
KR100798179B1 (ko) * 2001-04-27 2008-01-24 교세라 가부시키가이샤 웨이퍼 가열장치
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
JP4248341B2 (ja) 2003-08-18 2009-04-02 日本電子株式会社 被照射物保持機構
US7361865B2 (en) * 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7126093B2 (en) * 2005-02-23 2006-10-24 Ngk Insulators, Ltd. Heating systems
KR20060122557A (ko) 2005-05-27 2006-11-30 삼성전자주식회사 반도체 제조 장치의 진공 척
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02304449A (ja) * 1989-05-19 1990-12-18 Hitachi Electron Eng Co Ltd 基板チャック機構
JP2006121054A (ja) * 2004-09-15 2006-05-11 Applied Materials Inc Pecvdサセプタ支持構造体

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015065327A (ja) * 2013-09-25 2015-04-09 太平洋セメント株式会社 真空吸着装置
JP2017139313A (ja) * 2016-02-03 2017-08-10 株式会社Screenホールディングス 熱処理用サセプタおよび熱処理装置
JP2021500596A (ja) * 2017-10-27 2021-01-07 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィアプリケーション内でオブジェクトを保持するための改変された表面トポグラフィを伴うバール
US11270906B2 (en) 2017-10-27 2022-03-08 Asml Holding N.V. Burls with altered surface topography for holding an object in lithography applications
JP7098722B2 (ja) 2017-10-27 2022-07-11 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィアプリケーション内でオブジェクトを保持するための改変された表面トポグラフィを伴うバール
KR20200117214A (ko) * 2019-04-03 2020-10-14 세메스 주식회사 기판지지 모듈
KR102226270B1 (ko) 2019-04-03 2021-03-09 세메스 주식회사 기판지지 모듈
JP2022530200A (ja) * 2019-04-30 2022-06-28 エーエスエムエル ネザーランズ ビー.ブイ. 構造体に耐摩耗材料を提供するための方法、及び複合体
JP7408683B2 (ja) 2019-04-30 2024-01-05 エーエスエムエル ネザーランズ ビー.ブイ. 構造体に耐摩耗材料を提供するための方法、及び複合体
US11874607B2 (en) 2019-04-30 2024-01-16 Asml Netherlands B.V. Method for providing a wear-resistant material on a body, and composite body

Also Published As

Publication number Publication date
EP2235748B1 (en) 2017-09-06
EP2235748A2 (en) 2010-10-06
US20120205878A1 (en) 2012-08-16
US8698048B2 (en) 2014-04-15
WO2009091640A3 (en) 2009-10-08
TW200940246A (en) 2009-10-01
TWI454336B (zh) 2014-10-01
US8198567B2 (en) 2012-06-12
EP2235748A4 (en) 2011-08-10
US20090179365A1 (en) 2009-07-16
WO2009091640A2 (en) 2009-07-23
JP5481390B2 (ja) 2014-04-23

Similar Documents

Publication Publication Date Title
JP5481390B2 (ja) 高温真空チャックアセンブリ
JP6108051B1 (ja) 静電チャック装置
JP5528394B2 (ja) プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
US9948214B2 (en) High temperature electrostatic chuck with real-time heat zone regulating capability
JP5029435B2 (ja) 載置台構造及び熱処理装置
US20180294177A1 (en) Electrostatic chuck mechanism and semiconductor processing device having the same
JP6688715B2 (ja) 載置台及びプラズマ処理装置
US20150228528A1 (en) Chucking capability for bowed wafers on dsa
JP7376623B2 (ja) ウエハ処理システム向けの熱管理のシステム及び方法
KR20120135910A (ko) 가열 장치 및 어닐링 장치
JP2009182139A (ja) 載置台構造及び処理装置
TWI823865B (zh) 用於減少損壞的基板背面的基板支撐件
JP2015088687A (ja) プラズマ処理装置及びプラズマ処理方法
JP2016184645A (ja) 静電チャック装置
TWI308364B (en) Supporting structure for a heating element, insulating structure, heating device and substrate processing apparatus
KR20180021301A (ko) 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US20120160419A1 (en) Substrate-supporting unit and substrate-processing apparatus comprising same
JP2004282047A (ja) 静電チャック
CN114080669A (zh) 用于高温应用的可拆卸、可偏置的静电卡盘
JP5367232B2 (ja) セラミックスヒーター
JP2010010231A (ja) プラズマ処理装置
JP2003332408A (ja) クランプ機構及び処理装置
JP4955357B2 (ja) 背面電子衝撃加熱装置
JP2015088635A (ja) 熱処理装置および熱処理方法
JP2022178979A (ja) 静電チャック装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130402

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130701

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130801

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130808

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130829

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140217

R150 Certificate of patent or registration of utility model

Ref document number: 5481390

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250