JP4481913B2 - 基板ペデスタルアッセンブリ及び処理チャンバー - Google Patents
基板ペデスタルアッセンブリ及び処理チャンバー Download PDFInfo
- Publication number
- JP4481913B2 JP4481913B2 JP2005295533A JP2005295533A JP4481913B2 JP 4481913 B2 JP4481913 B2 JP 4481913B2 JP 2005295533 A JP2005295533 A JP 2005295533A JP 2005295533 A JP2005295533 A JP 2005295533A JP 4481913 B2 JP4481913 B2 JP 4481913B2
- Authority
- JP
- Japan
- Prior art keywords
- base
- fluid conduit
- flow path
- electrostatic chuck
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T279/00—Chucks or sockets
- Y10T279/23—Chucks or sockets with magnetic or electrostatic means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
- Jigs For Machine Tools (AREA)
Description
[0001]本発明の実施形態は、一般に、半導体基板処理システムに係る。より詳細には、本発明は、半導体基板処理システムにおいて基板の温度を制御するための方法及び装置に係る。
[0002]集積回路の製造においては、基板内に一貫した結果を得ると共に、基板から基板へ再現可能な結果を得るために、種々のプロセスパラメータを正確に制御することが必要となる。処理中に、基板の温度が変化したり基板にわたって温度勾配ができたりすると、半導体デバイスの材料堆積、エッチングレート、ステップカバレージ、特徴部テーパー角度、及びその他のパラメータに有害な影響を及ぼす。従って、基板にわたる温度分布の所定パターンの発生は、高い収率を得るための重要な要件の1つである。
Claims (13)
- 少なくとも1つのチャック電極を有する静電チャックと、
上記静電チャックに結合された金属性ベースであって、互いに分離された第1及び第2の流体コンジットループが配置されている金属性ベースと、を備え、
前記第1及び第2の流体コンジットループのそれぞれは、入口から折り返し部分にまで延びる第1流路と、前記折り返し部分から出口にまで延びており前記第1流路と並行するように形成された第2流路と、を有し、
前記第1の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第1の温度制御ゾーンを画成し、
前記第2の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第2の温度制御ゾーンを画成し、
前記第1の温度制御ゾーンと前記第2の温度制御ゾーンとが同心的となるように、前記第1の流体コンジットループが前記第2の流体コンジットループの半径方外方に配列されている、基板ペデスタルアッセンブリ。 - 上記コンジットループ間に配置されたインサートであって、上記ベースの熱伝導係数より低い熱伝導係数を有するインサートを更に備えた、請求項1に記載の基板ペデスタルアッセンブリ。
- 上記ベースは、更に、上記コンジットループの少なくとも1つへと延びる少なくとも1つのフィンを備えた、請求項1に記載の基板ペデスタルアッセンブリ。
- 上記ベースは、更に、
上記ベースに形成されたチャンネルと、
上記コンジットループの1つを画成するように上記チャンネルにシール式に配置されたキャップと、を備えた請求項1に記載の基板ペデスタルアッセンブリ。 - 上記チャンネルは、更に、上記キャップ又は上記ベースの少なくとも1つから、上記チャンネルにより画成されたスペースへと延びる少なくとも1つのフィンを備えた、請求項4に記載の基板ペデスタルアッセンブリ。
- 上記静電チャックと上記ベースとの間に形成された少なくとも1つのガスチャンネルを更に備えた、請求項1に記載の基板ペデスタルアッセンブリ。
- 熱伝導率の異なる少なくとも2つの領域を有する材料が上記静電チャックと上記ベースとの間に更に配置された、請求項1に記載の基板ペデスタルアッセンブリ。
- セラミックの静電チャックと、
上記セラミックの静電チャックに配置されたチャック電極と、
上記静電チャックの底面に結合された金属性ベースと、
上記静電チャック又は上記金属性ベースの少なくとも1つに配置されたヒータと、
上記金属性ベースに形成された第1の流体コンジットループと、
上記金属性ベースに形成され且つ上記第1の流体コンジットループの内方に横方向に離間された第2の流体コンジットループと、を備え、
前記第1及び第2の流体コンジットループのそれぞれは、入口から折り返し部分にまで延びる第1流路と、前記折り返し部分から出口にまで延びており前記第1流路と並行するように形成された第2流路と、を有し、
前記第1の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第1の温度制御ゾーンを画成し、
前記第2の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第2の温度制御ゾーンを画成し、
前記第1の温度制御ゾーンと前記第2の温度制御ゾーンとが同心的となるように、前記第1の流体コンジットループが前記第2の流体コンジットループの半径方外方に配列されている、基板ペデスタルアッセンブリ。 - 上記ベースより熱伝導係数が小さいインサートが上記第1と第2のコンジットループ間に配置される、請求項8に記載の基板ペデスタルアッセンブリ。
- 熱伝導率の異なる少なくとも2つの領域を有する接着材料が上記静電チャックと上記ベースとの間に更に配置された、請求項8に記載の基板ペデスタルアッセンブリ。
- チャンバー本体と、
上記チャンバー本体に配置された金属性ベースと、
上記金属性ベースに結合されたセラミックの静電チャックと、
上記セラミックの静電チャックに配置されたチャック電極と、
上記静電チャック又は上記金属性ベースの少なくとも1つに配置されたヒータと、
上記金属性ベースに形成された第1の流体コンジットループと、
上記金属性ベースに形成され且つ上記第1の流体コンジットループの内方に横方向に離間された第2の流体コンジットループと、を備え、
前記第1及び第2の流体コンジットループのそれぞれは、入口から折り返し部分にまで延びる第1流路と、前記折り返し部分から出口にまで延びており前記第1流路と並行するように形成された第2流路と、を有し、
前記第1の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第1の温度制御ゾーンを画成し、
前記第2の流体コンジットループの前記第1流路、前記折り返し部分、及び前記第2流路は、第2の温度制御ゾーンを画成し、
前記第1の温度制御ゾーンと前記第2の温度制御ゾーンとが同心的となるように、前記第1の流体コンジットループが前記第2の流体コンジットループの半径方外方に配列されている、処理チャンバー。 - 上記ベースより熱伝導係数が小さいインサートが上記第1と第2のコンジットループ間に配置される、請求項11に記載の処理チャンバー。
- 熱伝導率の異なる少なくとも2つの領域を有する接着材料が上記静電チャックと上記ベースとの間に更に配置された、請求項11に記載の処理チャンバー。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/960,874 US7544251B2 (en) | 2004-10-07 | 2004-10-07 | Method and apparatus for controlling temperature of a substrate |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2006140455A JP2006140455A (ja) | 2006-06-01 |
JP4481913B2 true JP4481913B2 (ja) | 2010-06-16 |
Family
ID=36144104
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005295533A Expired - Fee Related JP4481913B2 (ja) | 2004-10-07 | 2005-10-07 | 基板ペデスタルアッセンブリ及び処理チャンバー |
JP2006009474U Expired - Fee Related JP3129419U (ja) | 2004-10-07 | 2006-11-21 | 基板の温度を制御する装置 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006009474U Expired - Fee Related JP3129419U (ja) | 2004-10-07 | 2006-11-21 | 基板の温度を制御する装置 |
Country Status (5)
Country | Link |
---|---|
US (3) | US7544251B2 (ja) |
JP (2) | JP4481913B2 (ja) |
KR (2) | KR100815539B1 (ja) |
CN (2) | CN1779938A (ja) |
TW (2) | TWI323018B (ja) |
Families Citing this family (224)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7648914B2 (en) * | 2004-10-07 | 2010-01-19 | Applied Materials, Inc. | Method for etching having a controlled distribution of process results |
US7544251B2 (en) * | 2004-10-07 | 2009-06-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7436645B2 (en) * | 2004-10-07 | 2008-10-14 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
JP4869610B2 (ja) * | 2005-03-17 | 2012-02-08 | 東京エレクトロン株式会社 | 基板保持部材及び基板処理装置 |
US7718007B2 (en) * | 2005-03-17 | 2010-05-18 | Tokyo Electron Limited | Substrate supporting member and substrate processing apparatus |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US7988872B2 (en) * | 2005-10-11 | 2011-08-02 | Applied Materials, Inc. | Method of operating a capacitively coupled plasma reactor with dual temperature control loops |
US8157951B2 (en) * | 2005-10-11 | 2012-04-17 | Applied Materials, Inc. | Capacitively coupled plasma reactor having very agile wafer temperature control |
US8034180B2 (en) * | 2005-10-11 | 2011-10-11 | Applied Materials, Inc. | Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor |
US8092638B2 (en) * | 2005-10-11 | 2012-01-10 | Applied Materials Inc. | Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution |
US8221580B2 (en) | 2005-10-20 | 2012-07-17 | Applied Materials, Inc. | Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops |
US8603248B2 (en) * | 2006-02-10 | 2013-12-10 | Veeco Instruments Inc. | System and method for varying wafer surface temperature via wafer-carrier temperature offset |
US8226769B2 (en) * | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
US8475625B2 (en) * | 2006-05-03 | 2013-07-02 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
JP5183058B2 (ja) * | 2006-07-20 | 2013-04-17 | アプライド マテリアルズ インコーポレイテッド | 急速温度勾配コントロールによる基板処理 |
US9275887B2 (en) | 2006-07-20 | 2016-03-01 | Applied Materials, Inc. | Substrate processing with rapid temperature gradient control |
JP4732978B2 (ja) * | 2006-08-02 | 2011-07-27 | 東京ガスケミカル株式会社 | サーモチャック装置およびサーモチャック装置の製造方法 |
US20080073032A1 (en) * | 2006-08-10 | 2008-03-27 | Akira Koshiishi | Stage for plasma processing apparatus, and plasma processing apparatus |
US20080038448A1 (en) * | 2006-08-11 | 2008-02-14 | Lam Research Corp. | Chemical resistant semiconductor processing chamber bodies |
US7501605B2 (en) * | 2006-08-29 | 2009-03-10 | Lam Research Corporation | Method of tuning thermal conductivity of electrostatic chuck support assembly |
US7901509B2 (en) * | 2006-09-19 | 2011-03-08 | Momentive Performance Materials Inc. | Heating apparatus with enhanced thermal uniformity and method for making thereof |
US7838800B2 (en) * | 2006-09-25 | 2010-11-23 | Tokyo Electron Limited | Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system |
US7723648B2 (en) * | 2006-09-25 | 2010-05-25 | Tokyo Electron Limited | Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system |
US20080169183A1 (en) * | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
US20080188011A1 (en) * | 2007-01-26 | 2008-08-07 | Silicon Genesis Corporation | Apparatus and method of temperature conrol during cleaving processes of thick film materials |
US8123902B2 (en) | 2007-03-21 | 2012-02-28 | Applied Materials, Inc. | Gas flow diffuser |
KR100905258B1 (ko) * | 2007-07-11 | 2009-06-29 | 세메스 주식회사 | 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치 |
JP5660753B2 (ja) | 2007-07-13 | 2015-01-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマエッチング用高温カソード |
JP5169097B2 (ja) * | 2007-09-14 | 2013-03-27 | 住友電気工業株式会社 | 半導体装置の製造装置および製造方法 |
US7649729B2 (en) * | 2007-10-12 | 2010-01-19 | Applied Materials, Inc. | Electrostatic chuck assembly |
US7777160B2 (en) * | 2007-12-17 | 2010-08-17 | Momentive Performance Materials Inc. | Electrode tuning method and apparatus for a layered heater structure |
WO2009086013A2 (en) * | 2007-12-21 | 2009-07-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
KR100960391B1 (ko) * | 2007-12-26 | 2010-05-28 | 포항공과대학교 산학협력단 | 나노 디바이스의 제조 방법 및 그 제조 장치 |
KR20090071060A (ko) * | 2007-12-27 | 2009-07-01 | 주성엔지니어링(주) | 정전척 및 그를 포함하는 기판처리장치 |
US8066895B2 (en) * | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
KR100916186B1 (ko) * | 2008-05-14 | 2009-09-08 | 주식회사 템네스트 | 온도균일화 수단이 내장되어 있는 정전척 |
JP5324251B2 (ja) * | 2008-05-16 | 2013-10-23 | キヤノンアネルバ株式会社 | 基板保持装置 |
KR101768983B1 (ko) * | 2008-06-10 | 2017-08-17 | 에이에스엠엘 네델란즈 비.브이. | 광학 요소를 열적으로 컨디셔닝하는 방법 및 시스템 |
US8227768B2 (en) * | 2008-06-25 | 2012-07-24 | Axcelis Technologies, Inc. | Low-inertia multi-axis multi-directional mechanically scanned ion implantation system |
EP2562290A3 (en) * | 2008-08-29 | 2016-10-19 | Veeco Instruments Inc. | Wafer carrier with varying thermal resistance |
JP2010062195A (ja) * | 2008-09-01 | 2010-03-18 | Hitachi High-Technologies Corp | プラズマ処理装置及び試料載置電極 |
JP5198226B2 (ja) * | 2008-11-20 | 2013-05-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
CN102308380B (zh) * | 2009-02-04 | 2014-06-04 | 马特森技术有限公司 | 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法 |
WO2010150590A1 (ja) * | 2009-06-24 | 2010-12-29 | キヤノンアネルバ株式会社 | 真空加熱冷却装置および磁気抵抗素子の製造方法 |
WO2011056433A2 (en) * | 2009-11-03 | 2011-05-12 | Applied Materials, Inc. | Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications |
US8274017B2 (en) * | 2009-12-18 | 2012-09-25 | Applied Materials, Inc. | Multifunctional heater/chiller pedestal for wide range wafer temperature control |
WO2011082371A2 (en) * | 2009-12-30 | 2011-07-07 | Solexel, Inc. | Mobile electrostatic carriers for thin wafer processing |
KR101108337B1 (ko) * | 2009-12-31 | 2012-01-25 | 주식회사 디엠에스 | 2단의 냉매 유로를 포함하는 정전척의 온도제어장치 |
US8916793B2 (en) * | 2010-06-08 | 2014-12-23 | Applied Materials, Inc. | Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow |
US9338871B2 (en) | 2010-01-29 | 2016-05-10 | Applied Materials, Inc. | Feedforward temperature control for plasma processing apparatus |
JP2011181677A (ja) * | 2010-03-01 | 2011-09-15 | Tokyo Electron Ltd | フォーカスリング及び基板載置システム |
JP5675138B2 (ja) * | 2010-03-25 | 2015-02-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8880227B2 (en) | 2010-05-27 | 2014-11-04 | Applied Materials, Inc. | Component temperature control by coolant flow control and heater duty cycle control |
KR101636764B1 (ko) * | 2010-05-31 | 2016-07-06 | 주식회사 미코 | 정전척 및 이를 포함하는 기판 처리 장치 |
JP5618638B2 (ja) * | 2010-06-07 | 2014-11-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置または試料載置台 |
US8608852B2 (en) * | 2010-06-11 | 2013-12-17 | Applied Materials, Inc. | Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies |
US8591755B2 (en) * | 2010-09-15 | 2013-11-26 | Lam Research Corporation | Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same |
US8822876B2 (en) * | 2010-10-15 | 2014-09-02 | Applied Materials, Inc. | Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120196242A1 (en) * | 2011-01-27 | 2012-08-02 | Applied Materials, Inc. | Substrate support with heater and rapid temperature change |
JP5982758B2 (ja) | 2011-02-23 | 2016-08-31 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
JP5882614B2 (ja) * | 2011-06-29 | 2016-03-09 | 株式会社日本セラテック | セラミックスヒータ |
KR101240538B1 (ko) * | 2011-08-17 | 2013-03-11 | 주성엔지니어링(주) | 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법 |
EP2752083A1 (en) * | 2011-08-30 | 2014-07-09 | Watlow Electric Manufacturing Company | System and method for controlling a thermal array |
US10274270B2 (en) | 2011-10-27 | 2019-04-30 | Applied Materials, Inc. | Dual zone common catch heat exchanger/chiller |
CN103165377B (zh) * | 2011-12-12 | 2016-02-03 | 中国科学院微电子研究所 | 一种等离子体浸没注入电极结构 |
JP5973731B2 (ja) | 2012-01-13 | 2016-08-23 | 東京エレクトロン株式会社 | プラズマ処理装置及びヒータの温度制御方法 |
US10316412B2 (en) | 2012-04-18 | 2019-06-11 | Veeco Instruments Inc. | Wafter carrier for chemical vapor deposition systems |
US20130284372A1 (en) * | 2012-04-25 | 2013-10-31 | Hamid Tavassoli | Esc cooling base for large diameter subsrates |
US9089007B2 (en) | 2012-04-27 | 2015-07-21 | Applied Materials, Inc. | Method and apparatus for substrate support with multi-zone heating |
US9267739B2 (en) * | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
JP5996340B2 (ja) * | 2012-09-07 | 2016-09-21 | 東京エレクトロン株式会社 | プラズマエッチング装置 |
WO2014046840A1 (en) * | 2012-09-19 | 2014-03-27 | Applied Materials, Inc. | Methods for bonding substrates |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
JP5992388B2 (ja) * | 2012-12-03 | 2016-09-14 | 日本碍子株式会社 | セラミックヒーター |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US9358702B2 (en) | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
CH707480B1 (de) * | 2013-01-21 | 2016-08-31 | Besi Switzerland Ag | Bondkopf mit einem heiz- und kühlbaren Saugorgan. |
US20140209242A1 (en) * | 2013-01-25 | 2014-07-31 | Applied Materials, Inc. | Substrate processing chamber components incorporating anisotropic materials |
US8970114B2 (en) | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9669653B2 (en) | 2013-03-14 | 2017-06-06 | Applied Materials, Inc. | Electrostatic chuck refurbishment |
US10167571B2 (en) | 2013-03-15 | 2019-01-01 | Veeco Instruments Inc. | Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems |
US9668373B2 (en) * | 2013-03-15 | 2017-05-30 | Applied Materials, Inc. | Substrate support chuck cooling for deposition chamber |
US10209016B2 (en) | 2013-03-22 | 2019-02-19 | Toyota Motor Engineering & Manufacturing North America, Inc. | Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same |
US9887121B2 (en) | 2013-04-26 | 2018-02-06 | Applied Materials, Inc. | Protective cover for electrostatic chuck |
US9666466B2 (en) * | 2013-05-07 | 2017-05-30 | Applied Materials, Inc. | Electrostatic chuck having thermally isolated zones with minimal crosstalk |
JP6239894B2 (ja) * | 2013-08-07 | 2017-11-29 | 日本特殊陶業株式会社 | 静電チャック |
JP6196095B2 (ja) * | 2013-08-07 | 2017-09-13 | 日本特殊陶業株式会社 | 静電チャック |
WO2015042302A1 (en) * | 2013-09-20 | 2015-03-26 | Applied Materials, Inc. | Substrate carrier with integrated electrostatic chuck |
TW201518538A (zh) | 2013-11-11 | 2015-05-16 | Applied Materials Inc | 像素化冷卻溫度控制的基板支撐組件 |
CN103594312A (zh) * | 2013-11-13 | 2014-02-19 | 上海华力微电子有限公司 | 点状高电流离子注入机 |
CN103762145B (zh) * | 2013-12-23 | 2016-03-09 | 中国电子科技集团公司第四十八研究所 | 旋转盘高温靶室系统 |
EP3100298B1 (en) | 2014-01-27 | 2020-07-15 | Veeco Instruments Inc. | Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems |
US10153191B2 (en) | 2014-05-09 | 2018-12-11 | Applied Materials, Inc. | Substrate carrier system and method for using the same |
US20150332942A1 (en) * | 2014-05-16 | 2015-11-19 | Eng Sheng Peh | Pedestal fluid-based thermal control |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US11302520B2 (en) * | 2014-06-28 | 2022-04-12 | Applied Materials, Inc. | Chamber apparatus for chemical etching of dielectric materials |
US9786539B2 (en) * | 2014-07-16 | 2017-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Wafer chuck |
US10431435B2 (en) * | 2014-08-01 | 2019-10-01 | Applied Materials, Inc. | Wafer carrier with independent isolated heater zones |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
JP6278277B2 (ja) * | 2015-01-09 | 2018-02-14 | 住友大阪セメント株式会社 | 静電チャック装置 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
JP6655310B2 (ja) * | 2015-07-09 | 2020-02-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
TWI808334B (zh) * | 2015-08-06 | 2023-07-11 | 美商應用材料股份有限公司 | 工件握持器 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US20170051402A1 (en) * | 2015-08-17 | 2017-02-23 | Asm Ip Holding B.V. | Susceptor and substrate processing apparatus |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10154542B2 (en) * | 2015-10-19 | 2018-12-11 | Watlow Electric Manufacturing Company | Composite device with cylindrical anisotropic thermal conductivity |
US10020218B2 (en) | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
US10499461B2 (en) * | 2015-12-21 | 2019-12-03 | Intel Corporation | Thermal head with a thermal barrier for integrated circuit die processing |
JP6633931B2 (ja) * | 2016-02-10 | 2020-01-22 | 日本特殊陶業株式会社 | 保持装置および保持装置の製造方法 |
JP6639940B2 (ja) * | 2016-02-17 | 2020-02-05 | 日本特殊陶業株式会社 | 保持装置および保持装置の製造方法 |
US10648080B2 (en) * | 2016-05-06 | 2020-05-12 | Applied Materials, Inc. | Full-area counter-flow heat exchange substrate support |
WO2017195672A1 (ja) * | 2016-05-09 | 2017-11-16 | 株式会社 アルバック | 静電チャック、および、プラズマ処理装置 |
WO2017195893A1 (ja) * | 2016-05-13 | 2017-11-16 | Toto株式会社 | 静電チャック |
JP6183567B1 (ja) * | 2016-05-13 | 2017-08-23 | Toto株式会社 | 静電チャック |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
CN106091470A (zh) * | 2016-06-21 | 2016-11-09 | 上海工程技术大学 | 一种制冷设备及其制冷方法 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
DE102017200588A1 (de) * | 2017-01-16 | 2018-07-19 | Ers Electronic Gmbh | Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren |
US20180213608A1 (en) * | 2017-01-20 | 2018-07-26 | Applied Materials, Inc. | Electrostatic chuck with radio frequency isolated heaters |
JP6982394B2 (ja) * | 2017-02-02 | 2021-12-17 | 東京エレクトロン株式会社 | 被加工物の処理装置、及び載置台 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11043401B2 (en) * | 2017-04-19 | 2021-06-22 | Ngk Spark Plug Co., Ltd. | Ceramic member |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
JP6924618B2 (ja) * | 2017-05-30 | 2021-08-25 | 東京エレクトロン株式会社 | 静電チャック及びプラズマ処理装置 |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
KR20200019235A (ko) * | 2017-06-23 | 2020-02-21 | 와틀로 일렉트릭 매뉴팩츄어링 컴파니 | 고온 가열판 받침대 |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
CN109213086B (zh) * | 2017-06-29 | 2020-10-23 | 台湾积体电路制造股份有限公司 | 制程系统与制程方法 |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
DE112018005933B4 (de) | 2017-11-21 | 2021-11-18 | Watlow Electric Manufacturing Company | Keramiksockelanordnung und Verfahren zur Bildung einer Keramiksockelanordnung |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
CN109962030B (zh) * | 2017-12-22 | 2022-03-29 | 中微半导体设备(上海)股份有限公司 | 一种静电吸盘 |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US11232966B2 (en) * | 2018-02-01 | 2022-01-25 | Lam Research Corporation | Electrostatic chucking pedestal with substrate backside purging and thermal sinking |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US11848177B2 (en) * | 2018-02-23 | 2023-12-19 | Lam Research Corporation | Multi-plate electrostatic chucks with ceramic baseplates |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US11133212B2 (en) * | 2018-05-16 | 2021-09-28 | Applied Materials, Inc. | High temperature electrostatic chuck |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11133211B2 (en) * | 2018-08-22 | 2021-09-28 | Lam Research Corporation | Ceramic baseplate with channels having non-square corners |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
JP7262194B2 (ja) | 2018-09-18 | 2023-04-21 | 東京エレクトロン株式会社 | 載置台及び基板処理装置 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP7203585B2 (ja) * | 2018-12-06 | 2023-01-13 | 東京エレクトロン株式会社 | 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210117338A (ko) | 2019-02-12 | 2021-09-28 | 램 리써치 코포레이션 | 세라믹 모놀리식 바디를 갖는 정전 척 |
US20220181126A1 (en) * | 2019-03-14 | 2022-06-09 | Lam Research Corporation | Lamellar ceramic structure |
CN110289241B (zh) * | 2019-07-04 | 2022-03-22 | 北京北方华创微电子装备有限公司 | 静电卡盘及其制作方法、工艺腔室和半导体处理设备 |
JP7394556B2 (ja) * | 2019-08-09 | 2023-12-08 | 東京エレクトロン株式会社 | 載置台及び基板処理装置 |
US11610792B2 (en) * | 2019-08-16 | 2023-03-21 | Applied Materials, Inc. | Heated substrate support with thermal baffles |
US11515190B2 (en) * | 2019-08-27 | 2022-11-29 | Watlow Electric Manufacturing Company | Thermal diffuser for a semiconductor wafer holder |
JP7316179B2 (ja) * | 2019-10-04 | 2023-07-27 | 東京エレクトロン株式会社 | 基板支持台、及びプラズマ処理装置 |
JP7304799B2 (ja) * | 2019-11-28 | 2023-07-07 | 東京エレクトロン株式会社 | 基板処理装置および配管アセンブリ |
KR102372810B1 (ko) * | 2020-03-27 | 2022-03-11 | 주식회사 케이에스티이 | 정전척 |
KR102615216B1 (ko) * | 2020-05-15 | 2023-12-15 | 세메스 주식회사 | 정전 척, 기판 처리 장치 및 기판 처리 방법 |
US11699602B2 (en) * | 2020-07-07 | 2023-07-11 | Applied Materials, Inc. | Substrate support assemblies and components |
KR102607844B1 (ko) * | 2020-07-10 | 2023-11-30 | 세메스 주식회사 | 기판 처리 장치 및 기판 지지 유닛 |
CN112144033B (zh) * | 2020-09-09 | 2022-12-09 | 北京北方华创微电子装备有限公司 | 基座组件及半导体加工设备 |
CN114388323A (zh) * | 2020-10-20 | 2022-04-22 | 中微半导体设备(上海)股份有限公司 | 一种静电夹盘及其等离子体处理装置 |
JP2023003003A (ja) * | 2021-06-23 | 2023-01-11 | 東京エレクトロン株式会社 | 基板支持部及び基板処理装置 |
CN114975178B (zh) * | 2022-05-18 | 2024-04-05 | 江苏微导纳米科技股份有限公司 | 温度控制组件、半导体处理腔室及半导体处理设备 |
CN117127154B (zh) * | 2023-10-16 | 2024-08-06 | 粤芯半导体技术股份有限公司 | 一种半导体器件中的互连金属的沉积方法 |
Family Cites Families (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5427670A (en) * | 1992-12-10 | 1995-06-27 | U.S. Philips Corporation | Device for the treatment of substrates at low temperature |
US6052271A (en) * | 1994-01-13 | 2000-04-18 | Rohm Co., Ltd. | Ferroelectric capacitor including an iridium oxide layer in the lower electrode |
US5673647A (en) | 1994-10-31 | 1997-10-07 | Micro Chemical, Inc. | Cattle management method and system |
JP3537544B2 (ja) | 1995-06-22 | 2004-06-14 | 大日本スクリーン製造株式会社 | グラビア彫刻システム |
JPH0917770A (ja) * | 1995-06-28 | 1997-01-17 | Sony Corp | プラズマ処理方法およびこれに用いるプラズマ装置 |
TW286414B (en) | 1995-07-10 | 1996-09-21 | Watkins Johnson Co | Electrostatic chuck assembly |
US5609720A (en) * | 1995-09-29 | 1997-03-11 | Lam Research Corporation | Thermal control of semiconductor wafer during reactive ion etching |
JPH09256153A (ja) | 1996-03-15 | 1997-09-30 | Anelva Corp | 基板処理装置 |
US5846375A (en) * | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
JP3979694B2 (ja) * | 1997-01-22 | 2007-09-19 | 株式会社巴川製紙所 | 静電チャック装置およびその製造方法 |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6482747B1 (en) | 1997-12-26 | 2002-11-19 | Hitachi, Ltd. | Plasma treatment method and plasma treatment apparatus |
US6256187B1 (en) | 1998-08-03 | 2001-07-03 | Tomoegawa Paper Co., Ltd. | Electrostatic chuck device |
JP4040814B2 (ja) * | 1998-11-30 | 2008-01-30 | 株式会社小松製作所 | 円盤状ヒータ及び温度制御装置 |
US6290825B1 (en) * | 1999-02-12 | 2001-09-18 | Applied Materials, Inc. | High-density plasma source for ionized metal deposition |
TW582050B (en) | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
US6310755B1 (en) | 1999-05-07 | 2001-10-30 | Applied Materials, Inc. | Electrostatic chuck having gas cavity and method |
JP3805134B2 (ja) | 1999-05-25 | 2006-08-02 | 東陶機器株式会社 | 絶縁性基板吸着用静電チャック |
US20030155079A1 (en) | 1999-11-15 | 2003-08-21 | Andrew D. Bailey | Plasma processing system with dynamic gas distribution control |
JP3723398B2 (ja) | 2000-01-28 | 2005-12-07 | 大日本スクリーン製造株式会社 | 基板処理装置および基板処理方法 |
KR20010111058A (ko) * | 2000-06-09 | 2001-12-15 | 조셉 제이. 스위니 | 전체 영역 온도 제어 정전기 척 및 그 제조방법 |
JP4697833B2 (ja) | 2000-06-14 | 2011-06-08 | キヤノンアネルバ株式会社 | 静電吸着機構及び表面処理装置 |
JP2002009064A (ja) | 2000-06-21 | 2002-01-11 | Hitachi Ltd | 試料の処理装置及び試料の処理方法 |
JP4753460B2 (ja) | 2000-08-16 | 2011-08-24 | 株式会社クリエイティブ テクノロジー | 静電チャック及びその製造方法 |
US6606234B1 (en) | 2000-09-05 | 2003-08-12 | Saint-Gobain Ceramics & Plastics, Inc. | Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow |
KR100378187B1 (ko) | 2000-11-09 | 2003-03-29 | 삼성전자주식회사 | 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법 |
KR100434487B1 (ko) * | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 박막 형성 장비 |
JP2002270680A (ja) * | 2001-02-28 | 2002-09-20 | Applied Materials Inc | 基板支持方法及び基板支持装置 |
JP4003540B2 (ja) | 2001-05-30 | 2007-11-07 | ヤマハ株式会社 | 基板処理方法と装置 |
KR20030000768A (ko) * | 2001-06-27 | 2003-01-06 | 삼성전자 주식회사 | 새도우 링이 부착된 정전척 |
US7199328B2 (en) | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
US20030089457A1 (en) | 2001-11-13 | 2003-05-15 | Applied Materials, Inc. | Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber |
JP2003243490A (ja) | 2002-02-18 | 2003-08-29 | Hitachi High-Technologies Corp | ウエハ処理装置とウエハステージ及びウエハ処理方法 |
US6664738B2 (en) | 2002-02-27 | 2003-12-16 | Hitachi, Ltd. | Plasma processing apparatus |
US6677167B2 (en) | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
KR100455430B1 (ko) * | 2002-03-29 | 2004-11-06 | 주식회사 엘지이아이 | 열교환기 표면처리장비의 냉각장치 및 그 제조방법 |
JP3639268B2 (ja) | 2002-06-14 | 2005-04-20 | 株式会社日立製作所 | エッチング処理方法 |
JP4218822B2 (ja) | 2002-07-19 | 2009-02-04 | 東京エレクトロン株式会社 | 真空断熱層を有する載置機構 |
CN2585414Y (zh) | 2002-11-08 | 2003-11-05 | 冯自平 | 具有温度均衡通道的散热器 |
US7347901B2 (en) | 2002-11-29 | 2008-03-25 | Tokyo Electron Limited | Thermally zoned substrate holder assembly |
US7029536B2 (en) | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US20040187787A1 (en) | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US7221553B2 (en) | 2003-04-22 | 2007-05-22 | Applied Materials, Inc. | Substrate support having heat transfer system |
US20050042881A1 (en) * | 2003-05-12 | 2005-02-24 | Tokyo Electron Limited | Processing apparatus |
CN100365795C (zh) | 2003-06-17 | 2008-01-30 | 创意科技股份有限公司 | 双极型静电卡盘 |
US7993460B2 (en) | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
GB0320469D0 (en) * | 2003-09-01 | 2003-10-01 | Nokia Corp | A method of controlling connection admission |
US20060027169A1 (en) | 2004-08-06 | 2006-02-09 | Tokyo Electron Limited | Method and system for substrate temperature profile control |
US7544251B2 (en) * | 2004-10-07 | 2009-06-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7436645B2 (en) | 2004-10-07 | 2008-10-14 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7886687B2 (en) * | 2004-12-23 | 2011-02-15 | Advanced Display Process Engineering Co. Ltd. | Plasma processing apparatus |
EP1748331B1 (fr) * | 2005-07-29 | 2010-10-06 | ETA SA Manufacture Horlogère Suisse | Montre électronique de plongée comportant un affichage analogique redondant de la profondeur instantanée |
US8226769B2 (en) * | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
-
2004
- 2004-10-07 US US10/960,874 patent/US7544251B2/en not_active Expired - Fee Related
-
2005
- 2005-10-06 TW TW094135006A patent/TWI323018B/zh not_active IP Right Cessation
- 2005-10-06 TW TW095218711U patent/TWM314913U/zh not_active IP Right Cessation
- 2005-10-07 JP JP2005295533A patent/JP4481913B2/ja not_active Expired - Fee Related
- 2005-10-07 US US11/246,012 patent/US8075729B2/en not_active Expired - Fee Related
- 2005-10-07 KR KR1020050094425A patent/KR100815539B1/ko active IP Right Grant
- 2005-10-08 CN CNA2005101165360A patent/CN1779938A/zh active Pending
- 2005-10-08 CN CN2006101505390A patent/CN1945807B/zh not_active Expired - Fee Related
-
2006
- 2006-10-11 KR KR1020060098807A patent/KR101045730B1/ko not_active IP Right Cessation
- 2006-11-21 JP JP2006009474U patent/JP3129419U/ja not_active Expired - Fee Related
- 2006-11-27 US US11/563,272 patent/US20070102118A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
US8075729B2 (en) | 2011-12-13 |
US20060076109A1 (en) | 2006-04-13 |
US20070102118A1 (en) | 2007-05-10 |
US7544251B2 (en) | 2009-06-09 |
TWM314913U (en) | 2007-07-01 |
JP3129419U (ja) | 2007-02-22 |
CN1945807A (zh) | 2007-04-11 |
US20060076108A1 (en) | 2006-04-13 |
CN1945807B (zh) | 2012-11-28 |
JP2006140455A (ja) | 2006-06-01 |
CN1779938A (zh) | 2006-05-31 |
KR100815539B1 (ko) | 2008-03-20 |
KR101045730B1 (ko) | 2011-06-30 |
TWI323018B (en) | 2010-04-01 |
KR20060121773A (ko) | 2006-11-29 |
TW200616139A (en) | 2006-05-16 |
KR20060052119A (ko) | 2006-05-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4481913B2 (ja) | 基板ペデスタルアッセンブリ及び処理チャンバー | |
US7436645B2 (en) | Method and apparatus for controlling temperature of a substrate | |
JP7169319B2 (ja) | ガス孔に開口縮小プラグを有する大電力静電チャック | |
US10879053B2 (en) | Temperature controlled substrate support assembly | |
TWI780597B (zh) | 具有獨立隔離的加熱器區域的晶圓載體 | |
US9681497B2 (en) | Multi zone heating and cooling ESC for plasma process chamber | |
CN107431032B (zh) | 用于减少基板处理夹盘冷凝的气流 | |
KR20040028989A (ko) | 반도체 처리 반응기를 위한 샤워헤드 전극 구조 | |
KR20070061884A (ko) | 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템 | |
TW201448109A (zh) | 具有多個獨立邊緣區域的多區域加熱之靜電吸座 | |
US20200013595A1 (en) | Electrostatic chuck and plasma processing apparatus including the same | |
JP2003243492A (ja) | ウエハ処理装置とウエハステージ及びウエハ処理方法 | |
US6508062B2 (en) | Thermal exchanger for a wafer chuck | |
US20230075462A1 (en) | Electrostatic edge ring mounting system for substrate processing | |
KR20070000225U (ko) | 기판의 온도를 제어하기 위한 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20061208 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090707 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091007 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20091110 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100210 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100309 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100318 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130326 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4481913 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130326 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130326 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140326 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |