KR20070061884A - 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템 - Google Patents

개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템 Download PDF

Info

Publication number
KR20070061884A
KR20070061884A KR1020077008962A KR20077008962A KR20070061884A KR 20070061884 A KR20070061884 A KR 20070061884A KR 1020077008962 A KR1020077008962 A KR 1020077008962A KR 20077008962 A KR20077008962 A KR 20077008962A KR 20070061884 A KR20070061884 A KR 20070061884A
Authority
KR
South Korea
Prior art keywords
substrate
heat transfer
processing
electrode
support pins
Prior art date
Application number
KR1020077008962A
Other languages
English (en)
Other versions
KR101265807B1 (ko
Inventor
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070061884A publication Critical patent/KR20070061884A/ko
Application granted granted Critical
Publication of KR101265807B1 publication Critical patent/KR101265807B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting substrates others than wafers, e.g. chips
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/213SiO2
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

열 전송 시스템을 이용해서 기판을 프로세싱하기 위한 플라즈마 프로세싱 시스템 및 방법들이 제공된다. 기판의 표면을 따라서 높은 수준의 프로세싱 균일성을 생성할 수 있는, 열 전송 시스템은 열 전송 부재와 우수한 열 접촉하며 그 위에 지지된 균일 페더스털을 포함한다. 균일 페더스털은 프로세싱 동안 기판의 휴면의 윤곽을 따를 수 있는 등각 기판 지지 표면 (예를 들면, 접촉 표면) 을 제공하는 핀 어레이를 포함한다. 기판을 균일하게 냉각시키기 위해서, 큰 열 변화율은 기판의 프로세싱 동안 균일 페더스털과 열 전송 부재 사이에서 성립될 수 있다.
균일 페더스털, 기판, 열 전송 부재

Description

개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템{HEAT TRANSFER SYSTEM FOR IMPROVED SEMICONDUCTOR PROCESSING UNIFORMITY}
배경기술
상이한 척킹 (chucking) 디바이스들이 프로세싱 동안 반도체 웨이퍼들 또는 다른 기판들을 지탱하기 위해서 넓게 사용된다. 예를 들면, 기계적 척들은 지지 표면에 대해서 가공품 (work-piece) 을 누르기 위해서 암 (arm) 또는 클램프들을 사용해서 가공품을 보호할 수 있다. 하지만, 기계적 척들로부터의 클램핑 힘은 본질적으로 비균일하므로, 변형을 초래할 수 있는 가공품 내의 불균일한 압력들 및 가공품과 지지체 사이의 불균일한 열 접촉을 초래한다.
진공 척들은 가공품 후면 밑에 공간을 비우고, 그것에 의해서 프로세싱 챔버와 가공품 후면 사이의 압력 차이로 인한 클램핑 힘을 발생시키는 것에 의해서 가공품을 보호한다. 진공 척들은 기계적 척들이 할 수 있는 것보다 균일한 클램핑 힘을 제공하지만, 많은 반도체 프로세싱 에플리케이션에서 요구되는 저압 환경에서, 그 압력 차이가 충분한 클램핑 힘을 발생시키기에는 불충분하다.
정전기 척들은 진공 시스템내에서 개선된 클램핑 균일성을 제공한다. 정전기 척 (ESC) 은 프로세싱 동안 가공품을 제자리에 지탱하기 위해서 정전기 전위를 사용한다. 가공품을 척에 클램핑하는 것에 의해서, 가공품과 척 사이에 개선된 열적 도전성이 제공될 수 있다. 선택적으로, 헬륨과 같은 높은 열 도전성 가스가 가공품과 척 사이의 열 전송을 개선하기 위해서 가공품과 척 사이에 배치될 수도 있다. 기계적 클램프들과 ESC 기판 홀더들의 예들은 공유된 (commonly-owned) 미국 특허 번호 제 5,262,029 호; 제 5,880,922 호 및 제 5,671,116 호에서 제공되었다. 미국 특허 번호 제 4,579,618 호에서 개시된 바와 같이, 전극의 형태로 기판 홀더들이 챔버내에 무선주파수 (RF) 전력을 공급할 수 있다.
ESC 의 사용에 따라 생긴 어려움은 척에서 가공품을 떼어내기 위해서 가공품과 척 사이의 남아있는 정전기력을 제거하는 것이다. 이러한 남아있는 힘은 가공품과 ESC 지지 표면 사이의 접촉면에서의 전하의 축척으로부터 기인한다. 가공품을 척-분리 (de-chucking) 하거나 떼어내기 위해서 여러 기술들이 개발되어왔다. 예를 들면, 전극과 가공품 모두는 접지될 수도 있고, 또는, 선택적으로, 전극에 인가된 척 전압의 극성이 전극을 방전시키기 위해서 뒤바뀔 수 있다. 하지만, 이러한 기술들은 전극 및 가공품 상의 모든 전하를 제거하는데 완벽하게 효율적이지는 않다. 기계적 힘이 남아있는 정전기 인력을 이기기 위해서 종종 요구되며, 상기 남아있는 정전기 인력은 의도하지 않은 위치에서 가공품을 복구시키는 것을 어렵게 만들거나 가공품을 손상시킬 수 있다. 가공품을 척-분리하기 위한 방법들이 미국 특허 번호 제 5,117,121 호; 제 6,125,025 호; 제 6,236,555 호 및 제 6,430,022 호에서 개시된다.
기판을 기판 지지 표면과 맛물리게 하기 위해서 복원가능 클램핑 부재를 사용하는 프로세싱 장치가 미국 특허 번호 제 4,685,999 호; 제 5,266,527 호 및 제제 5,925,226 호에서 개시된다. 반도체 기판을 프로세싱하기 위한 극저온 냉각 시스템이 미국 특허 번호 제 6,431,115 호 및 제 6,695,946 호가 개시된다.
시간에 따른 발전에 상관없이, 프로세싱동안 웨이퍼를 지지하는 효율적이며, 저비용 장치에 대한 관심이 존재한다. 척-분리와 관련된 어려움을 초래하지 않으면서 좋은 프로세싱 균일성을 제공하면서도 반도체 웨이퍼와 같은 기판을 지지하는 기판 지지체를 제공하는 것에 개선이 있을 것이다.
요약
열 전송 시스템의 상부면의 반도체 프로세싱을 위해서 기판을 지지하기 위해서 채용된 열 전송 시스템으로서, (i) 열 전송 부재를 뒤덮으며 열 접촉하는 핀 베이스로서, 상부 벽, 하부 벽 및 상기 상부 및 하부 벽들 사이에서 연장되고 그 사이에 공극 (cavity) 을 규정하는 측벽을 포함하며, 여기에서, 상기 상부 벽은 구멍 어레이를 포함하는, 상기 핀 베이스; (ii) 각각의 상기 구멍들내에서 미끄러지게 배치되는 각 기판 지지 핀들의 어레이로써, 각 기판 지지 핀은 상기 핀 베이스와 열 접촉하며 그 상부 말단에 접촉 팁을 가지는, 상기 기판 지지 핀들의 어레이; 및 (iii) 상기 공극과 유체적으로 연결되고, 상향으로 각각의 지지 핀을 이동시키기에 충분한 양의 가압된 가스를 상기 공극에 공급하도록 채용된 가압된 가스원을 포함하는, 열 전송 시스템이 제공된다.
기판의 표면을 프로세싱하기 위한 플라즈마 프로세싱 시스템으로서, 프로세싱을 위한 플라즈마를 점화하고 유지하도록 채용된 진공 프로세싱 챔버를 포함하고, 상기 프로세싱 챔버내에 배치된 상술한 열 전송 시스템을 더 포함하는, 플라즈마 프로세싱 시스템이 또한 제공된다.
핀 어레이는 지지 핀들의 밀집된 어레이를 포함할 수 있다. 예를 들면, 지지 핀들은 약 5mm 이하로 떨어져서 위치될 수 있고 (예를 들면, 가장 근접한 이웃 핀들의 외부면 사이의 거리는 약 5mm 이하일 수 있음), 지지 핀들의 직경은 약 0.5mm 와 3mm 사이에 있을 수 있다. 바람직하게는, 핀 어레이는 1000 핀들 이상을 포함하며, 각각의 지지 핀들은 개별적 구멍들 사이에서 미끄러지게 움직이도록 채용된 실린더형 핀 몸체를 포함하며, 실린더형 핀 몸체는 실질적으로 균일한 외경을 가지며, 각각의 구멍들은 실질적으로 균일한 내경을 가지며, 여기에서, 실린더형 핀 몸체의 외경은 실린더형 핀 몸체가 위치된 구멍의 내경보다 약 0.1 과 5 % 사이만큼 작다.
지지 핀들 및 핀 베이스는 금속 또는 반도체로 만들어지거나 코팅될 수 있다. 바람직한 실시형태에서, 지지 핀들 및 핀 베이스는 플라즈마 반응 챔버내에서 플라즈마 에칭을 견딜 수 있는 전기적 도전성 및/또는 스퍼터 저항성 물질로 코팅된다.
지지 핀들 및 핀 베이스는 기판이 지지 핀들 상에 지지될 때 기판에서 열 전송 부재로 열 에너지를 전송하도록 채용된다. 핀 베이스와 열 전송 부재 사이에 열 접촉을 개선하기 위해서, 핀 베이스는 납땜 (solder), 브레이즈 (braze) 또는 접착제로 결합될 수 있다.
실시형태에서, 열 전송 시스템은 기판의 후면에서 온도를 측정하도록 채용된 온도 센서를 더 포함한다. 지지 핀들은 상향 또는 하향 이동을 제한하도록 채용된 하나 이상의 스톱 (stop) 을 포함할 수 있다.
바람직한 실시형태에서, 접촉 팁들은 기판이 지지 핀들과 물리적 접촉할 때 기판과 기판 지지 핀들 사이에서 열 접촉을 최대화하도록 구성된다. 실질적으로 평평하거나 실질적으로 반구형일 수 있는, 각각의 접촉 팁은 약 0.3 마이크론 이하의 평균 표면 거칠기를 가진 접촉 표면을 포함할 수 있다.
기판의 중량의 영향으로, 각각의 지지 핀들은 기판의 후면과의 접촉에 의해서 하향으로 위치되도록 채용된다. 지지 핀들의 기판 없이, 각각의 지지 핀들은 공극 내의 양성 가스압에 의해서 상향으로 위치되도록 채용된다.
열 전송 부재는 유동 채널 내의 열 유체를 순환시키도록 채용된 열 유체원과 유체적으로 연결된 유동 채널을 포함할 수 있다. 바람직한 열 유체는 물 (예를 들면, 이온화되지 않는 물), 액체 헬륨, 액체 질소, 에틸렌 글리콜, 프로필렌 글리콜 및/또는 FluorinetTM 을 포함한다.
열 유체 대신에 또는 추가하여, 열 전송 시스템은 열 전송 부재의 상부에 다수의 열전기 소자들 (예를 들면, 열전기 소자들의 동심 배열) 을 포함할 수 있다. 따라서, 열 전송 유체 및/또는 열전기 소자들은 지지 핀 어레이에 의해서 지지되는 기판에 열을 제공하거나 또는 그 기판으로부터 열을 제거하는 데 사용될 수 있다. 하부 RF 전극이 제공되는 실시형태에서, 열전기 소자들은 바람직하게는 하부 RF 전극 밑에 위치된다.
바람직하게는, 열 전송 시스템은 공극으로 가압된 가스를 유입시키기 위한 핀 베이스 내의 가스 공급 입구 및, 선택적으로, 공극으로부터 가압된 가스를 유출 시키기 위한 가스 출구를 더 포함한다. 가압된 가스는 헬륨, 질소 또는 아르곤일 수 있다. 공극으로의 가스 공급은 공극내의 가스압을 조절하기 위해서 질량유량계 (mass flow controller) 를 포함할 수 있다.
바람직한 실시형태에서, 열 전송 시스템은 챔버내의 플라즈마를 생성하거나 RF 바이어스를 기판에 인가하도록 구성된 RF 전극을 더 포함한다. 열 전송 시스템이 RF 전극을 포함할 때, 바람직하게는 핀 베이스의 하부면은 RF 전극의 상부면에 결합되고 RF 전극의 하부면은 열 전송 부재의 상부면에 결합된다. 납땜, 브레이즈, 또는 접착제는 RF 전극을 핀 베이스 및/또는 열 전송 부재에 결합하도록 사용될 수 있다. 바람직하게는, 제공되었다면, RF 전극은 위에 놓인 핀 베이스 및 아래에 놓인 열 전송 부재 모두에 열적 접촉된다. 희망된다면, RF 전극은 석영, 알루미늄 등과 같은 유전 물질 층에 의해서 열 전송 부재와 분리될 수 있다. RF 전극은 적절한 RF 매칭 회로를 제공하는 것에 의해서 단일 주파수 또는 다수의 주파수에서 RF 전력이 제공될 수 있다.
또 다른 실시형태에서, 열 전송 시스템은 RF 전극 상에 배치된 에지 링을 포함할 수 있다. 에지 링은 기판을 프로세싱하기 위해서 사용된 플라즈마로부터 RF 전극 및 핀 베이스를 보호하도록 구성된다. 바람직한 에지 링은 기판이 열 전송 시스템에 의해서 지지될 때 RF 전극과 기판 사이에 배치되도록 구성된 제 1 부를 가진다. 게다가, 열 전송 시스템은 RF 전극과 에지 링 사이에 배치된 임피던스 매칭 층을 포함할 수 있으며, 여기에서, 임피던스 매칭 층은 RF 전극 및/또는 에지 링에 결합된다. 바람직하게는, 임피던스 매칭 층은 기판의 상부면을 따라 프로세싱 균일성을 개선하기 위해서, RF 전극과 프로세싱 플라즈마 사이의 임피던스를 제어하도록 구성된다.
기판은 반도체 웨이퍼일 수 있다. 제공된다면, RF 전극은 웨이퍼의 직경보다 작거나, 크거나 또는 동일한 직경을 가질 수 있지만, 바람직하게는 웨이퍼의 직경보다 2mm 이하 작거나 2mm 이상 크다.
열 전송 시스템을 포함하는 프로세싱 챔버내의 기판을 프로세싱하는 방법에서, 기판은 지지 핀 어레이 상에서 지지되며, 지지 핀 어레이는 하나 이상의 지지 핀들이 기판의 중량에 대한 중력 때문에 하향으로 이동하도록 할 수 있다 (예를 들면, 핀들을 상향으로 미는 가스압은 모든 핀들이 기판의 중량의 영향으로 하향되게 하고 기판의 후면을 따르도록 설정된다). 가스압이 지지 핀들을 상향으로 향하게 강제할 만큼 충분하여 지지 핀들의 대부분 또는 전부의 접촉 팁들이 그 프로세싱 (예를 들면, 플라즈마 프로세싱) 동안 기판의 후면과 접촉될 수 있도록, 공극 내의 그 가스압은 기판의 중량에 따라서 제어된다.
바람직한 방법에서, 프로세싱 챔버는 플라즈마 에칭 챔버이며 프로세싱은 기판의 상부면에 인접한 플라즈마를 생성하는 단계 및 기판의 상부면 상에 노출된 층을 플라즈마로 에칭하는 단계를 포함한다. 선택적으로, 프로세싱은 기판의 상부면 상에 층을 형성 (예를 들면, 화학 증기 증착, 열적 산화, 스퍼터, 또는 다른 증착 프로세스에 의해서) 하는 단계를 포함할 수 있다. 또한, 프로세싱은 기판으로부터 포토레지스트 또는 다른 물질을 스트립하는 단계를 포함할 수 있다.
기판의 프로세싱 동안, 바람직하게는, 공극내의 가스압은 기판의 후면과 열 접촉 중인 접촉 팁의 적어도 95% 이상을 유지하기에 효율적 수준으로 유지된다.
열 전송 부재내의 열 유체를 순환시키는 것에 의해서, 열 전송 부재는 프로세싱 동안 약 100K 미만의 온도로 냉각될 수 있다. 열 전송 부재내의 열 유체를 순환시키는 것 및/ 또는 기판 지지 핀들과 열 전송 접촉 중인 다수의 열전기 모듈에 전류를 공급하는 것에 의해서, 기판은 프로세싱 동안 약 450K 미만의 온도로 냉각될 수 있다. 바람직하게는 큰 온도 변화율 (예를 들면, 적어도 약 200K, 보다 바람직하게는 적어도 약 300K) 이 프로세싱 동안 기판을 균일하게 냉각시키기 위해서 열 전송 부재와 기판 사이에서 유지된다.
기판의 프로세싱은 화학 증기 증착, 플라즈마 증기 증착, 물리적 증기 증착, 스퍼터, 이온 주입, 플라즈마 에칭 또는 레지스트 스트립을 포함할 수 있다. 핀 베이스를 사용할 때, 기판은 기판을 기계적으로 정전기적으로 클램핑하지 않으면서 프로세싱되고 지지될 수 있다.
도면의 간단한 설명
도 1 은, 일 실시형태에 따라서, 플라즈마 반응기의 단면 형태의 측면도이다.
도 2 는, 일 실시형태에 따라서, 열 전송 시스템의 단면 형태의 측면도이다.
도 3 은 예시적인 지지 핀 구조를 도시한다.
도 4 는 시스템 상의 기판을 지지하기 이전에 열 전송 시스템의 단편의 분해 조립도이다.
도 5 는 시스템 상의 비-평면 후면을 가진 기판을 지지한 후에 열 전송 시스 템의 단편의 분해 조립도이다.
도 6 은, 일 실시형태에 따라서, 열 전송 시스템의 단면 형태의 측면도이다.
발명의 상세한 설명
기판들을 프로세싱하고 프로세스 균일성을 개선하기 위한 개선된 반도체 프로세싱 장치들 및 방법들이 제공된다. 바람직하게는, 프로세스는 반도체 디바이스 제조에서 사용된 플라즈마 에칭 프로세스이다. 보다 상세하게는, 기판의 표면을 따라 높은 수준의 프로세싱 균일성을 만들 수 있는 열 전송 시스템이 제공된다. 기판은 집적 회로를 제조하기 위해서 사용된 반도체 기판 또는 평면 패널 디스플레이를 제조하기 위해서 사용된 유리 기판을 포함할 수 있다. 열 전송 시스템은 열 전송 부재 상에 지지되고 열 전송 부재와 좋은 열 접촉 중인 기판 페더스털 (균일 페더스털) 을 포함할 수 있다. 균일 페더스털은 프로세싱 동안 기판의 후면의 윤곽을 따르는 등각 (conformal) 기판 지지 표면 (예를 들면, 접촉 면) 을 제공하고, 그에 의해서 기판과 열 전송 부재 사이에서 좋은 열 전송 특성을 제공하도록 채용된다.
등각 지지 표면은 균일 페더스털내에 미끄러지게 탑재된 이동가능 지지 핀들의 어레이에 의해서 형성된다. 동작 중에, 각각의 핀은 양성 후면 가스압에 의해서 충분히 연장된 위치로 강제되지만, 기판의 후면의 접촉에 의해서 및 기판의 중량의 영향으로 연장된 위치로부터 옮겨질 수 있다. 바람직한 실시형태에서, 기판을 냉각시키는 큰 구동력을 발생시키기 위해서 기판의 프로세싱동안 큰 열 변화율이 균일 페더스털과 열 전송 부재 사이에 달성된다.
열 전송 시스템은 정전기적 클램핑 소자를 사용하지 않고 그리고 후면 냉각을 사용하지 않으면서 (예를 들면, 헬륨 가스 후면 냉각) 열적 및 전기적 불연속성을 감소시키기 위해서 구성된다. 이러한 불연속성을 감소시키는 것에 의해서, 기판의 중심과 에지 사이에서 발견되는 프로세싱 변이가 실질적으로 감소된다. 결과적으로, 더 많은 기판이 집적회로들 (ICs) 을 생성하기 위해서 사용될 수 있으며 따라서 디바이스 수율이 증가될 수 있다. 본 명세서에서 사용되듯이, "프로세싱 균일성"은 기판의 표면을 따라서 전체 프로세싱의 균일성을 지칭한다. 프로세싱이 매우 균일하면, 예를 들어, 기판 상의 상이한 지점들에서의 프로세싱 레이트는 실질적으로 동일해지는 경향이 있다.
통상적으로, 반도체 프로세싱 동안 (예를 들면, 플라즈마 에칭, 플라즈마 유발 증착 (plasma-assisted deposition), 레지스트 스트리핑 등), 기판은 프로세싱 챔버 내의 기판 지지체 상에 제공된다. 열 에너지는 이온 충돌 (ion bombardment) 을 통하여 기판으로 전송될 수 있고 기판 지지체는 바람직하게는 챔버내에서 안정하고 균일한 플라즈마 및 기판 표면에서 안정하고 균일한 온도 모두를 유지하면서 기판으로부터 열을 제거한다. 특히, 많은 타입의 플라즈마 프로세싱에서, 기판 (예를 들면, 웨이퍼) 온도는 기판 온도 균일성을 제어하기 위해서 기판/지지 접촉면을 따른 열적 도전성의 제어가 희망될 정도로 지지체 온도보다 매우 높을 수 있다.
노출된 기판 표면의 전체 영역에 대한 균일한 프로세싱을 보장하기 위해서, 실질적으로 균일한 웨이퍼 온도가 기판 표면에서 바람직하다. 플라즈마 에칭의 경우에서, 예를 들면, 에칭 레이트, 에칭 레이트 선택성, 및 에칭의 비등방성은 플라즈마 에칭 동안 기판의 온도에 의해서 모두 영향받을 수 있다. 유사하게, 기판의 온도는 막 증착 프로세스 동안 증착된 물질의 물리적, 전기적 및 광학적 특성 뿐만 아니라 막 증착의 레이트에 영향을 줄 수 있다.
바람직한 실시형태들은, 용량적 결합된 플라즈마 반응기, 즉, Lam Research Corporation of Fremont, California 에 의해 사용가능한, ExelanTM 플라즈마 에칭기와 같은 플라즈마 반응기와 함께 구현된다. 용량적 결합된 플라즈마 반응기가 도시되고 설명될 것이지만, 열 전송 시스템은 임의의 반도체 프로세싱 장치, 즉, 유도적 결합된 또는 전자-사이클로트론 공진 (ECR) 반응기와 같은 고밀도 플라즈마 반응기를 포함하여, 플라즈마를 형성하는 데 적합한 장치와 함께 사용될 수 있음을 주의한다. 열 전송 시스템은 균일한 기판 온도를 만들기 위해서 비-플라즈마 장치, 즉, 화학적 증기 증착 장치 또는 이온 주입 장치에서 사용될 수 있다.
플라즈마 반응기는 상부 샤워헤드 (showerhead) 전극 및 하부 전극을 포함하는 듀얼 주파수 용량적 결합된 플라즈마 반응기를 포함하며, RF 에너지는 하부 전극에 두개의 상이한 주파수들 (예를 들면, 27 MHz 및 2 MHz) 에서 또는 샤워헤드 전극 및/또는 하부 전극에서 상이한 제 1 및 제 2 주파수들에서 제공된다. 예를 들면, 명세서 내용이 전체가 참조로써 본 명세서에서 원용되어 있는 공유된 미국 특허 번호 제 6,391,787 호를 참조한다. 플라즈마 반응기가 용량적 결합된 플라즈마 에칭 반응기인 경우에, 반응기는 전력이 공급된 샤워헤드 전극 및 전력이 공급된 하부 전극을 가질수 있으며, 샤워헤드 전극은 바람직하게는 약 500 내지 3000 Watt 의 RF 에너지가 제공되며 하부 전극은 바람직하게는 약 500 내지 3000 Watt 의 RF 에너지가 제공된다.
도 1 은 일 실시형태에 따른 플라즈마 반응기 (100) 를 도시한다. 플라즈마 반응기 (100) 는 통상적으로 프로세싱을 위해서 플라즈마 (103) 가 점화되고 유지될 수 있는 프로세싱 챔버 (102) 를 포함한다. 챔버 (102) 내부에는, 매칭 네트워크 (미도시) 를 통하여 제 1 RF 전력 공급기 (106) 에 연결될 수도 있는, 상부 전극 (104) 이 보통 배치되어 있다. 가스 입구 (108) 는 가스, 즉, 에천트 가스들을 상부 전극 (104) 과 기판 (110) 사이의 활성 영역으로 인입하기 위해서 상부 전극 (104) 내로 제공된다. 프로세싱 가스는 또한 가스 인젝터, 가스 분배 판 (예를 들면, 샤워헤드), 하나 이상의 가스 링 전극들 및/또는 다른 적절한 배열과 같은 다양한 타입의 가스 공급 배열에 의해서 챔버 (102) 내로 인입될 수도 있다. 예시된 실시형태에서, 프로세싱 챔버 (102) 는 실질적으로 실린더 모양이 되도록 배치되며, 챔버 벽들은 실질적으로 수직이 되도록 배치된다. 챔버 벽들을 포함하여, 프로세싱 챔버 및 내부 구성 부품들의 다양한 구성이 사용될 수도 있다.
기판 (110) 은 챔버 (102) 내에 인입되며 균일 페더스털 (112) 상에 위치되고, 상기 균일 페더스털 (112) 은 기판 지지체 역할을 하며, 선택적으로, 바람직한 실시형태에서는, 하부 전극을 포함한다. 균일 페더스털 (112) 은 열 전송 시스 템 (118) 의 상부를 포함한다. 열 전송 부재 (114) 는 열 전송 시스템 (118) 의 하부를 포함한다. 바람직하게는, 균일 페더스털은 열 전송 부재와 우수한 열 접촉 상태에 있다. 접착제와 같은 접착 층이 균일 페더스털 (112) 을 열 전송 부재 (114) 에 결합하기 위해서 사용될 수 있다. 균일 페더스털은 납땜 또는 브래이징과 같은 다른 결합 기술들을 사용해서 열 전송 부재에 부착될 수도 있다. 열 전송 부재 (114) 및 균일 페더스털 (112) 을 포함한, 열 전송 시스템 (118) 은 이하에서 더욱 상세히 설명될 것이다.
예를 들면, 기판 (110) 은 프로세싱될 가공품을 나타내며, 상기 기판은 반도체 웨이퍼일 수도 있다. 반도체 웨이퍼 이외에, 기판은 평면 패널 디스플레이로 프로세싱될 유리 패널을 포함할 수 있다. 기판 (110) 은 프로세싱 동안 제거 (에칭) 될 하나 이상의 층들을 포함하며, 또는, 선택적으로, 프로세싱은 기판 상에 하나 이상의 층들을 형성하는 것을 포함할 수 있다.
방출 포트 (130) 는 바람직하게는 챔버 (102) 벽들과 열 전송 시스템 (118) 사이에 배치된다. 방출 포트 (130) 는 프로세싱 동안 형성된 가스들을 방출하도록 구성되며, 통상적으로 프로세싱 챔버 (102) 의 외부에 위치된, 터보 분자 펌프 (미도시) 에 연결된다. 대부분의 실시형태에서, 터보 분자 펌프는 프로세싱 챔버 (102) 내부의 적절한 압력을 유지하기 위해서 배치된다. 방출 포트가 챔버 벽들과 균일 페더스털 사이에 배치되는 것으로 도시되지만, 방출 포트의 실제 위치는 플라즈마 프로세싱 시스템의 구체적 설계에 따라서 다를 수 있다. 예를 들면, 가스들은 또한 프로세싱 챔버의 벽들에 설치된 포트들로부터 방출될 수도 있 다. 또한, 플라즈마 제한 링 어셈블리 (120) 는 기판 (110) 상에 플라즈마 (103) 를 국한하기 위해서 상부 전극 (104) 와 균일 페더스털 (112) 사이의 프로세싱 챔버 (102) 내부에 배치될 수 있다. 예를 들면, 내용 전체가 본 명세서에 참조로써 원용되어 있는 공유된 미국 특허 번호 제 5,534,751 호, 제 5,569,356 호, 및 제 5,998,932 호를 참조한다.
선택적인 하부 전극은, 상부 전극이 전력이 공급되지 않은 전극 (예를 들면, 접지된 전극) 이거나 또는 하부 전극과 동일하거나 다른 RF 주파수를 사용해서 전력이 공급되는 전극일 수 있는, 균일 페더스털 (112) 에서 결합될 수 있다. 상부 전극 및 하부 전극에 전력이 공급되면, 적절한 필터 배치가 전력 공급된 전극에 공급된 전류 주파수를 위한 반환 경로를 제공하도록 사용될 수 있다. 하부 전극은 바람직하게는 RF 에너지를 하부 전극에 제공하도록 통상적으로 구성된 제 2 RF 전력 공급기 (116) 에 의해서 (또한 통상적으로 매칭 네트워크를 통하여) 전력이 공급된다. 다양한 플라즈마 프로세스에서, 하부 전극은 기판 상의 개방 공간에서 플라즈마를 생성하도록 RF 전력을 제공하고/하거나 RF 바이어스를 기판에 인가하기 위해서 사용될 수 있다. 상부 전극 및/또는 하부 전극과 플라즈마 사이에서 결합된 에너지의 양은 통상적으로 기판을 프로세싱하기 위해서 사용된 플라즈마의 에너지 및 밀도에 영향을 준다. 예를 들면, 결합된 에너지가 크다면, 이온 에너지가 높아지는 경향이 있다. 결합된 에너지가 작다면, 이온 에너지가 낮아지는 경향이 있다. 따라서, 고 이온 에너지는 기판 프로세싱 동안 더욱 공격적이 되는 경향이 있고 저 이온 에너지는 기판 프로세싱 동안 덜 공격적이 되는 경향이 있다. 하부 전극에 의해서 발생된 에너지는 또한 기판 표면 (122) 에 근접한 시스 전압 (sheath voltage)(121) 을 형성하도록 배치될 수도 있으며, 상기 에너지는 플라즈마 (102) 내의 이온들을 기판 (110) 을 향하여 가속시키는 데 사용되며 여기에서 이온들은 프로세싱 반응을 활성화시킬 수 있다.
바람직하게는, 하부 전극의 외주변은 기판의 적어도 외부 에지를 넘어서 연장되도록 구성된다. 기판 에지를 지나서 전극을 연장시키는 한가지 현저한 장점은 기판의 에지에서의 전기적 특성이 더욱 균일해지는 경향이 있다는 점이다. 즉, RF 에너지의 결합은 기판의 에지 주변에서 보다 균일해지는 경향이 있으며, 결과적으로, 프로세싱은 기판의 표면을 따라 균일해지는 경향이 있다. 예를 들면, 하부 전극은 기판의 외연을 넘어서 약 2mm 로 에너지를 결합하도록 구성된다. 무선 주파수 (RF) 전력은 전극 표면을 통하여 RF 에너지의 분배를 개선하기 위해서 단일 접속을 사용해서 하부 RF 전극의 중심 영역에 인가될 수 있다.
상부 전극 및, 제공된다면 하부 전극은 바람직하게는 실질적으로 균일하며, 좋은 RF 도전체들이며 기판 (110) 에 대해서 실질적으로 평면일 수 있다. 상부 전극은 평면 전극 또는 비평면 전극일 수도 있고, 공유된 미국 특허 번호 제 6,391,787 호에서 개시된 것처럼, 계단식 상부 전극 일 수 있다. 상부 전극은 돔 형태의 전극일 수 있다. 바람직한 실시형태에서, 각각의 RF 전극은 높은 도전성 물질의 깨짐없는 연속층이다. RF 전극은 임의의 적절한 전기적 도전성 물질로 만들어 질 수 있다. 예를 들면, RF 전극들은 실리콘 (예를 들면, 도핑된 실리콘), 탄소 (예를 들면, 흑연), 실리콘 탄화물, 알루미늄 등을 포함할 수 있다. 상부 전극은 전력이 공급된 또는 접지된 샤워헤드 전극을 포함할 수 있다. 바람직하게는, RF 전극들은 그 전극들에 인가된 무선 주파수 (RF) 전력을 견딜 수 있을 정도로 충분히 두껍다. RF 전극의 바람직한 두께는, 더 두꺼운 전극들이 제공될 수 있더라도, 약 0.1 cm 내지 약 0.3 cm 의 범위이다. 선택적으로, 상부 전극은 백킹 판 (backing plate) 또는 보유 링이 제공될 수 있다. 예를 들면, 실리콘 상부 전극은 흑연 백킹 판이 제공될 수 있다.
균일 페더스털이 RF 전력 공급기 (116) 에 연결되는 것으로 도시되고 설명되지만, 다른 구성이 상이한 프로세싱 챔버들을 수용하기 위해서 또는 에너지의 결합을 허용하는 데 필요한 다른 외부 요소들을 따르기 위해서 사용될 수도 있다. 예를 들면, 일부의 단일 주파수 플라즈마 반응기에서, 전력이 상부 전극에 제공될 수 있으며 균일 페더스털이 접지점과 연결될 수도 있다.
플라즈마 (103) 를 발생시키기 위해서, 프로세싱 가스는 통상적으로 가스 입구 (108) 를 통하여 프로세싱 챔버 (102) 에 공급된다. 이후에, RF 전력 공급기들의 하나 또는 둘 모두가 활성화될 때, 전계는 RF 전극들의 하나 또는 둘 모두를 통하여 프로세싱 챔버 내부에서 용량적으로 결합된다.
플라즈마 반응기 (100) 가 상세히 설명되지만, 열 전송 시스템 자체는 임의의 특정 타입의 기판 프로세싱 장치에 국한되지 않으며, 건식 에칭, 플라즈마 에칭, 반응성 이온 에칭 (RIE), 자기적 강화된 반응성 이온 에칭 (MERIE), 전자 사이클로트론 공진 (ECR) 등을 위해서 채용된 것들을 포함하는 에칭 프로세스들에 채용된 것들을 포함하지만, 그에 국한되지는 않는, 임의의 공지된 프로세싱 시스템에서 사용을 위해서 채용될 수도 있다. 플라즈마 프로세싱 반응기는, 명세서가 참조로써 본 명세서에 원용되어 있는, 공유된 미국 특허 번호 제 6,090,304 호에서 설명된 듀얼 주파수 플라즈마 에칭 반응기와 같은 병렬 판 에칭 반응기를 포함할 수 있다. 또한, 열 전송 시스템은, 화학 증기 증착 (CVD), 플라즈마-강화 화학적 증기 증착 (PECVD), 및 스퍼터와 같은 물리적 증기 증착 (PVD) 을 포함한, 임의의 많은 증착 프로세스에서 사용될 수도 있다. 열 전송 시스템은 이온 주입 장치에서 사용될 수도 있다.
또한, 열 전송 시스템은, 플라즈마로의 에너지가 직류 플라즈마 소스들, 용량적 결합된 병렬 전극 판들, ECR 마이크로웨이브 플라즈마 소스들 또는 헬리콘, 헬리컬 공진기, 및 RF 안테나들 (평면 또는 비평면) 과 같은 유도적 결합된 RF 소스들을 통하여 전달되는 지와 관계없이, 다른 적절한 플라즈마 프로세싱 반응기들 뿐만 아니라 상기 임의의 반응기로 구현될 수도 있다. 적절한 플라즈마 발생 장치는, 그 내용이 전체로써 본 명세서에서 참조로써 원용되어 있는, 공유된 미국 특허 번호 제 4,340,462 호 (평행판); 제 5,200,232 호 (ECR); 및 제 4,948,458 호 (유도적 결합) 에서 개시된다.
플라즈마 프로세싱 동안, 플라즈마의 충돌 이온 (bombarding ion) 들은 기판 및 포커스 링들 및/또는 기판 주변의 다른 부분과 같은 인접한 표면들의 온도를 상승시키는 경향이 있다. 충분한 냉각이 없는 경우, 기판의 온도는 디바이스들 및/또는 그 안에 결합된 물질에 열적 손상을 충분히 초래할 정도의 온도까지 올라갈 수도 있다. 통상적으로, 클램핑되지 않은 기판에 대해서 웨이퍼와 웨이퍼 지지 표면 사이의 열 접촉은 플라즈마 프로세싱 동안에 기판에 생성된 열을 분산시키기에는 불충분하다.
열 전송 시스템에 의해서 지지된 기판에 대해서, 플라즈마 프로세싱 동안 기판의 온도는 주로 1) 기판의 표면 상에 입사된 이온 플럭스 및 이온 에너지; 2) 열 전송 부재와 기판 사이의 온도 변화율; 및 3) 기판의 하부면에서 열 전송 부재로의 열의 열 전송 계수의 함수이다.
열 전송 시스템은 프로세싱 동안 기판의 온도 및 온도 균일성을 제어하기 위해서 제공된다. 열 전송 시스템은 열 전송 부재와 열 접촉 중인 등각 지지 기판 표면을 가진 균일 페더스털을 포함한다. 등각 지지 표면은 정전기적 클램핑 소자를 사용하지 않고 기판과 열 전송 부재 사이의 우수한 열 접촉을 제공할 수 있다. 등각 접촉 표면은 웨이퍼의 후면의 구조적 특징을 따를 수 있다.
바람직한 실시형태에서, 열 전송 시스템은 열 전송 부재와 균일 페더스털의 지지 표면 사이의 큰 온도 차이, □T 를 제공한다. 바람직하게는 □T 는 적어도 약 200K 또는 적어도 약 300K 이상이다. 통상적으로, 기판과 기판 지지 표면 사이의 열적 열 전송, H 는 H∼k□T 로 표현될 수 있으며, 여기에서 k 는 열 전송 계수이다. 따라서, 열적 열 전송은 열 전송 계수를 증가시키는 것 및/또는 기판과 밑에 놓인 기판 지지체 사이의 온도 변화율을 증가시키는 것에 의해서 상승될 수 있다.
일 실시형태에서, 열 전송 시스템은 균일한 기판 온도를 생성하도록 구성된다. 바람직한 열 전송 시스템의 구조는 도 2-6 에 상세하게 도시된다. 축 척되지 않은, 도 2-6 에서의 표현들은 열 전송 시스템의 동작의 좀 더 명확한 설명을 제공하도록 의도된다. 도 2 는 균일 페더스털 (230) 및 열 전송 부재 (500) 를 포함하는 열 전송 시스템 (190) 의 단면을 도시한다.
열 전송 부재 (500) 는 통상적으로 물, 액체 헬륨, 액체 질소, 에틸렌 글리콜, 프로필렌 글리콜 또는 이들의 혼합과 같은 열 유체를 다수의 내부 유동 채널들 (520) 로 분배하기 위한 주요 입력 및 출력 채널들 (510) 을 포함한다. 예를 들면, 폐루프 (closed loop) 헬륨 냉각 장치가 사용될 수 있다. 다른 냉각제들은, 3M 에서 사용가능해진, FluorinetTM을 포함한다. 내부 유동 채널들 (520) 은 단일 구역 (예를 들면, 나선형) 유동 채널을 포함할 수 있고, 또는 내부 유동 채널들 (520) 은 각각의 입력 및 출력 채널들 (미도시) 을 가진 두 개 이상의 개별적으로 공급된 채널들을 포함할 수 있다.
열 유체는 열 유체원 (미도시) 으로부터 열 전송 부재로 유입되며 그 후 열 전송 부재에서 열 유체원으로 다시 유출된다. 통상적으로, 열 유체원은 열 교환기를 포함하며 여기에서 열 유체는 열 전송 부재로 순환되기 전에 냉각되거나 가열된다. 기판 및/또는 균일 페더스털을 냉각시키기 위해서, 프로세싱에 의해서 균일 페더스털 및 기판에서 발생된 열은 열 유체로 전송될 수 있고, 열 유체는 열 전송 부재에서 순환되며 프로세싱 챔버밖으로 전송된다. 선택적으로, 기판 및/또는 균일 페더스털을 가열하기 위해서, 열 유체는 열을 균일 페더스털 및 기판으로 전송하기 위해서 가열될 수 있다.
다른 실시형태에서, 균일 페더스털의 온도 및 그에 따른 기판 지지 핀들의 온도는 열전기 펠티에 디바이스 (thermoelectric Peltier device) 의 어레이를 사용해서 제어될 수 있다. 바람직하게는, 가열 및 냉각 모두가 선택적으로 열 전송 부재 내의 폐 유체 루프와 열 접촉 중인 하나 이상의 펠티에 디바이스를 사용해서 제공될 수 있지만, 균일 페더스털은 냉각된다. 예를 들면, 열전기 펠티에 디바이스들의 어레이는 균일 페더스털에서 열 유체로의 열의 도전 현상 또는 그 반대의 도전 현상을 향상시키기 위해서 열 전송 부재 (500) 상으로 위치될 수도 있다. 다수의 열전기 모듈들을 가진 온도 제어된 기판은, 명세서가 본 명세서에서 전체로써 참조로써 원용되어 있는, 공유된 미국 특허 번호 제 5,740,016 호에서 설명된다.
열전기 디바이스들이 균일 페더스털을 냉각시키기 위해서 사용될 때, 전류는 균일 페더스털을 향한 냉각 면 및 열 전송 부재 (500) 를 향한 가열 면을 생성하기 위해서 열전기 디바이스들에 제공된다. 선택적으로, 열전기 디바이스들의 가열 면을 냉각시키기 위해서 냉각된 열 유체는 열 유체원으로부터 열 전송 부재 (500) 로 흐를 수 있다. 열 유체가 열전기 디바이스들의 가열 면을 냉각시킴에 따라, 열전기 디바이스들의 냉각 면은 균일 페더스털을 냉각시킨다. 이러한 방법으로, 열전기 디바이스들은 균일 페더스털로부터의 열의 도전성을 향상시킬 수 있다.
정전기 디바이스들이 균일 페더스털을 가열시키기 위해서 사용될 때, 전류는 균일 페더스털을 향한 가열 면 및 열 전송 부재 (500) 를 향한 냉각 면을 생성하기 위해서 열전기 디바이스들에 제공된다. 선택적으로, 가열된 열 유체는 열전기 디바이스들의 냉각 면을 가열하기 위해서 열 유체원으로부터 열 전송 부재 (500) 로 흐를 수 있다. 열 유체가 열전기 디바이스들의 냉각 면을 가열시킬 때, 열전기 디바이스들의 가열 면은 균일 페더스털을 가열한다. 기판에서 열 전송 부재로의 (또는 열 전송 부재로부터의) 열 플럭스는 열전기 디바이스(들) 에 공급된 전류를 제어하는 것에 의해서 제어될 수 있다.
바람직한 실시형태에 따라서, 열 전송 부재 (500) 는 기판과 열 전송 부재 사이의 큰 온도 차이가 제공될 정도로 페더스털 (230) 을 냉각시키기 위해서 사용된다. 하나 이상의 펠티에 소자들 또는 폐루프 헬륨 배스 (bath) 중 하나와 결합하여 액체 질소를 사용하는 열 전송 부재는 각각 약 194K 또는 약 298K 까지 온도 변화율을 만들 수 있다.
선택적 하부 전극 (400) 을 포함하는, 균일 페더스털 (230) 은 도 1 에 도시된 균일 페더스털 (112) 에 대응할 수도 있다. 균일 페더스털 (230) 은 비어있는 핀 베이스 (250) 를 포함한다. 핀 베이스 (250) 는 상부 벽 (260), 측벽 (264) 및 하부 벽 (270) 으로 구성된다. 상부 벽 및 하부 벽은 각각 상부면들 (261, 271) 및 하부면들 (262, 272) 을 구성한다. 상부 벽 (260), 측벽 (264) 및 하부 벽 (270) 은 공극 (280) 을 규정한다. 동작 중에, 피드-스루 (feed-through)(예를 들면, 기판을 균일 페더스털 상으로 올리거나 균일 페더스털 밖으로 낮추기 위한 리프트-핀 배열) 가 공극 체적 내에 위치될 수 있다.
핀 베이스 (250) 는 기판 지지 핀들 (320) 의 어레이를 지지하도록 채용된다. 구멍들의 어레이는 상부 벽을 통하여 횡단하여 연장되고 상부면 (261) 에 서 하부면 (262) 을 통과한다. 각각의 구멍은 기판 지지 핀 (320) 을 수용할 만큼의 크기이다. 각각의 기판 지지 핀 (320) 은 구멍내에서 미끄러지게 맞추어지도록 채용된다. 바람직하게는, 구멍들은 밀집된 어레이 (예를 들면, 빽빽이 들어찬 패턴, 동심 원들의 패턴, 사각형 패턴) 로 배열된다. 예를 들면, 구멍들은 인접 (즉, 가장 가까운 이웃) 구멍들 사이의 거리가 5mm 이하, 바람직하게는 약 3mm 가 될 정도로 밀집된 어레이로 배열될 수 있다. 따라서, 기판 지지 핀들 (320) 은 구멍들의 패턴과 동일한 패턴으로 배열된다. 일괄하여, 지지 핀들 (320) 은 기판의 하부면의 표면 영역보다 작거나 같거나 또는 큰 핀 어레이 (320) 를 형성한다.
기판을 프로세싱하기 위해서, 플라즈마 반응기와 같은 프로세싱 챔버는 그 안에 열 전송 시스템 (190) 이 제공된다. 기판은 챔버내로 로딩되고, 챔버 내부에 지지 핀 어레이 상에 위치된다. 예를 들면, 로봇팔 (robot arm)(미도시) 이 로딩-고정 전송 챔버에서 프로세싱 챔버로 기판을 운송할 수 있다. 리프트 핀 어셈블리 (미도시) 는 리프트 체계에 의해서 핀 베이스를 통하여 올려지고 내려질 수 있는 리프트 핀들을 가진다. 바람직하게는, 핀 베이스 (250), 하부 전극 (400) 및 열 전송 부재 (500) 를 통과하는, 리프트 핀들은 공극 (280) 과 유체 연결 상태가 아니며 하부 전극 및 열 전송 부재로부터 전기적 및 열적으로 절연된다. 로봇팔은 리프트핀들의 팁 상에 기판을 위치시킬 수 있고 리프트 체계는 기판을 핀 어레이 상으로 낮추어질 수 있다. 이하에서 더욱 상세히 설명되듯이, 기판이 핀 어레이 상에 위치된 후에, 공극 (280) 내의 가스압은 지지 핀들의 대부분 또 는 모든 것이 기판의 후면과 접촉되기 위한 것이다. 예를 들면, 기판은 충분히 연장된 지지 핀들 상에 위치될 수 있고 가스압은 기판의 중량 아래로 지지 핀들을 낮추도록 하기 위해서 미리 조정되거나, 또는 기판은 충분히 수축된 지지 핀들 상에 위치될 수 있고 가스압은 지지 핀들을 올리기 위해서 상승될 수 있다. 기판을 프로세싱한 후에, 리프트 체계는 기판을 균일 페더스털에서 들어 내리기 위해서 리프트핀들을 올려서, 로봇 팔을 통해서 프로세싱 챔버로부터 기판이 제거되도록 한다.
프로세싱 동안, 기판은 지지 핀들의 대부분이 기판의 후면과 물리적 접촉되도록 핀 어레이에 위치된다. 따라서, 프로세싱 동안, 열은 기판에서 열 전송 부재로 어레이 (300) 를 통해서 전송될 수 있다. 기판 표면에 따른 온도 분배는 지지 핀들 그 자체의 물질 특성들 (예를 들면, 열적 도전성) 에 의해서 뿐만 아니라 지지 핀들의 구조 배열 (예를 들면, 패턴, 패턴 밀도) 에 의해서 판단될 수 있다. 핀 어레이는 지지 핀들의 균일 또는 비균일 패턴을 포함할 수 있다.
열 전송 시스템은 두개 이상의 구역들을 포함하도록 구성될 수 있고, 상기 구역들은 지지된 기판의 상이한 영역에 동일한 열 흐름 또는 상이한 열 흐름을 제공할 수 있다. 예를 들면, 열 전송 부재는 균일 페더스털의 내부 부분을 냉각 (또는 가열) 시키기 위한 제 1 구역, 및 균일 페더스털의 외부 부분을 냉각 (또는 가열) 시키기 위한 제 2 구역을 포함할 수도 있다. 기판의 프로세싱 동안, 동일한 온도 또는 상이한 온도들은 다수의 두개 이상의 구역들의 각각을 위해서 설정될 수도 있다. 바람직하게는, 다중 구역 열 전송 부재의 각각의 구역은 각각의 구역의 온도가 독립적으로 제어될 수 있도록 다른 구역들과 열적으로 고립된다. 따라서, 다중 구역 열 전송 부재는 희망된 온도로 균일 페더스털을 균일하게 냉각 (또는 가열) 시키고/시키거나 프로세싱 동안 균일 페더스털을 통한 비-균일 열 분배를 보충하기 위해서 사용될 수 있다. 다중 구역 열 전송 부재가 제공되는 실시형태에서, 바람직하게는, 다중 구역 핀 베이스가 제공된다.
개별적 핀 베이스는 다중 구역 열 전송 부재의 각각의 구역에 대해서 설치될 수 있다. 따라서, 열 전송 시스템은 개별적 열 전송 부재 상에서 지지된 각각의 핀 베이스를 가진 다중 구역 핀 베이스를 포함할 수 있다. 바람직하게는, 다중 구역 핀 베이스의 각각의 구역은 각각의 구역의 온도가 밑에 놓인 열 전송 부재의 온도를 제어하는 것에 의해서 독립적으로 제어될 수 있도록 다른 핀 베이스 구역들로부터 열적으로 고립된다.
다중 구역 열 전송 시스템의 각각의 구역은 바람직하게는 다른 구역들로부터 열적으로 고립된다. 유리, 석영 또는 알루미늄과 같은 유전 물질은 각각의 구역을 열적으로 고립시키기 위해서 사용될 수 있다.
에칭을 겪는 기판을 지지하는 열 전송 시스템은 바람직하게는 기판 상의 임의의 포토레지스트의 버닝 (burning) 을 방지하기에 충분하게 기판을 냉각시킨다. 바람직하게는 플라즈마 에칭 동안 평균 기판 온도는 140℃ 이하로 유지된다. 고밀도 플라즈마 반응기에서, 통상적으로 약 -20℃ 내지 40℃ 사이의 온도로 기판을 냉각시키는 것이 충분하다. 기판을 희망된 온도로 유지하기 위해서, 열 전송 부재는 약 100K 이하 (예를 들면, 77K 또는 그 이하) 의 온도로 냉각될 수 있 다.
핀 베이스는 가압된 가스를 공극 (280) 으로 유입하기 위한 가스 공급 입구 (282) 를 더 포함한다. 선택적으로, 핀 베이스는 공극으로부터 가압된 가스를 누출시키기 위한 가스 출구 (284) 를 포함할 수 있다. 입구 (282) 및 출구 (284) 를 통한 가스 유량은 공극 (280) 으로의 전체 가스 흐름이 공극 내부의 가스압을 결정할 정도로 압력 제어 밸브들 (283, 285) 에 의해서 제어될 수 있다. 검압계 (manometer) 는 공극 (280) 내의 가스압을 측정하기 위해서 가스 공급 입구 (282) 에 연결될 수 있다. 검압계는 질량 유량 압력 제어기에 대한 측정된 압력에 대응한 신호 및 판독값 (미도시) 을 출력할 수 있다. 압력 제어기는 측정된 압력에 반응하여, 압력 제어 밸브들 (283, 285) 에 전기적으로 접속되고 압력 제어 밸브들을 제어한다. 압력 제어 밸브들 (283, 285) 은 헬륨, 질소, 아르곤 또는 그 혼합물들과 같은 가스원과 유체적으로 연결된다.
바람직한 실시형태에서, 기판이 균일 페더스털 (230) 상에 위치될 때, 기판의 중량은 지지 핀들 (320) 의 어레이 상에 하향력을 가한다. 각각의 핀은 기판의 후면과의 접촉에 의해서 하향으로 위치될 수 있다. 공극 (280) 내의 가스압은 지지 핀들 (320) 상에 상향력을 가할 수 있다. 프로세싱 동안, 공극 (280) 내의 가스압은 계속적으로 각각의 지지 핀상에 상향력을 가한다. 공극 (280) 내의 가스압의 효율적 크기를 제공하는 것에 의해서, 지지 핀들 상에 가압된 가스에 의해서 가해진 상향력은 지지 핀들의 적어도 대부분을 기판의 후면과 맛물려지도록 할 수 있다. 바람직하게는, 지지 핀들의 95 % 이상 (예를 들면, 100 %) 이 프로세싱 동안 기판의 후면과 열 접촉한다.
따라서, 독립적으로 이동가능 지지 핀들 (320) 의 어레이 (300) 는 평면 또는 비평면 기판과 열접촉을 생성할 수 있는 등각 지지 표면을 제공할 수 있다. 등각 지지 표면은 기판을 지지 표면에 정전기적으로 클램핑하는 것 없이 열 전송 부재와 기판의 후면 사이에서 열 접촉을 제공한다.
바람직하게는, 하나 이상의 온도 센서들 (예를 들면, 열전지 (thermocouple), 섬유 광학 센서 등) 이 프로세싱 동안 기판의 온도의 실시간 표시를 제공하기 위해서 균일 페더스털 (230) 의 상부면에 인접하게 또는 상부면 상에 배치된다. 예를 들면, 하나 이상의 지지 핀들이 생략될 수 있고 열전지 또는 흑체 프로브와 같은 온도 센서가 지지 핀을 대신해서 제공될 수 있다. 지지된 웨이퍼의 온도를 감지하는데 적절한 흑체 (blackbody) 프로브는, 내용이 전체로써 본 명세서에서 참조로써 원용되어 있는, 공동-양도된 (common-assigned) 미국 특허 번호 제 6,140,612 호에서 찾을 수 있다. 선택적으로 또는 추가적으로, 하나 이상의 온도 센서들이 열 전송 부재 및/또는 열 유체의 온도를 감지하기 위해서 열 전송 부재내에 배치될 수 있다.
지지 핀들 (320) 과 기판의 후면 사이의 직접 접촉의 면적 및 기판/지지 핀 접촉면에 대한 열 도전성의 효율성 모두가 전송된 열의 크기에 영향을 준다. 지지 핀 접촉 표면의 거칠기, 평면도 및 경도뿐만 아니라 지지 핀들의 갯수 밀도와 갯수의 함수인, 기판과 지지 핀들 사이의 직접 접촉의 면적은 프로세싱 동안 기판 온도 및/또는 기판 온도 균일성에 영향을 줄 수 있다. 통상적으로, 접촉의 면 적이 크면 클수록, 도전 현상에 의해서 전송된 열이 더 크다. 또한, 지지 핀들 (320) 및 물질 특성들 (예를 들면, 열적 도전성) 의 구조 (예를 들면, 직경) 는 기판의 온도 및/또는 온도 균일성에 영향을 줄 수 있다.
기판에 따른 지지 핀들의 전체 접촉 영역을 최대화하기 위해서 구멍들 사이의 공간을 최소화 (예를 들면, 지지 핀들 사이의 공간을 최소화) 하는 것이 바람직하다. 지지 핀들 (320) 의 전체 갯수는 통상적으로 열 전송 시스템 디자인에 의존한다. 300mm 웨이퍼의 프로세싱에 대해서, 예를 들면, 핀 어레이는 바람직하게는 1000 지지 핀들 이상, 보다 바람직하게는, 1500 지지 핀들 이상을 포함한다. 바람직한 실시형태에서, 지지 핀들은 밀집된 어레이로 배열된다. 지지 핀들 사이의 공간들은 바람직하게는 약 5mm 이하, 보다 바람직하게는 약 3mm 이하 (예를 들면, 2 또는 1mm) 이다.
각각의 지지 핀 (320) 의 직경 (dp) 및 각각의 구멍의 직경 (dB) 은, 바람직하게는, 구멍들을 통하여 공극 (280) 으로부터 가압된 가스들의 유출을 최소화하면서, 각각의 핀이 화살표 A 에 의해서 지시된 구멍 내부에서 자유롭게 이동하도록 허용할 크기이다 (즉, dP < dB). 바람직하게는, 각각의 지지 핀의 직경은 약 3mm 이하, 보다 바람직하게는, 약 2mm 이다. 따라서, 구멍을 통하여 공극 (280) 으로부터 가압된 가스의 유출을 최소화하기 위해서, 각각의 지지 핀과 그 개별적 구멍 사이의 간격을 최소화하는 것이 바람직하다. 바람직한 실시형태에서, 지지 핀 직경은 대응 구멍의 내경보다 작은 약 0.1 과 5 % 사이만큼 작다. 지지 핀들의 외경 및 구멍들의 내경은 바람직하게는 사전 선택된 값들의 +/- 5% 의 허용 범위내로 제조된다. 선택적으로, O-링 밀봉 (미도시) 이 구멍들 (265) 을 통한 가압된 가스의 흐름을 최소화하기 위해서 지지 핀 (320) 과 구멍 (265) 사이에서 제공될 수 있다. 게다가, 구멍들 (265) 의 내부 표면 및 지지 핀들 (320) 의 내부 표면은 공극으로부터 가스의 누출을 최소화하면서 핀의 이동을 용이하게 하기 위해서 낮은 마찰도, 열적 도전성 코팅으로 코팅될 수 있다.
각각의 지지 핀들 (320) 의 바람직한 구성들이 도 3 에 대한 특정 참조로써 설명될 것이다. 각각의 지지 핀 (320) 은 그 상부 단말에서 기판의 후면과 접촉하도록 채용된 접촉 팁 (330) 을 포함한다. 각각의 지지 핀은 변하는 구조들의 접촉 팁을 포함할 수 있다. 예를 들면, 지지 핀 (320) 은 실질적으로 평평한 접촉 팁 (330a) 또는 반구형 접촉 팁 (330b) 을 포함할 수 있다. 또한, 지지 핀들 (320) 은 기판의 후면과의 접촉을 최대화하도록 형상화된 접촉 팁을 포함할 수 있다. 각각의 지지 핀의 몸체는 바람직하게는 실린더 형상이다. 따라서, 각각의 지지 핀의 단면은 바람직하게는 원형 구멍들 (265) 에 대응한 원형이다. 하지만, 하나 이상의 지지 핀들의 상부 단말은 비-원형 단면을 가진 접촉 팁을 포함할 수 있다. 예를 들면, 팁은 기판과의 더 큰 접촉을 제공하기 위해서 확대될 수 있다. 도 3 은 구멍 (265) 내에 미끄러지게 맞추어지도록 채용된 원형 주 몸체 (328) 를 가진 지지 핀 (320) 및 육각형 접촉 팁 (330c) 을 도시한다. 접촉 팁 (330) 은 실질적으로 평평하거나 굽어질 수 있는 접촉 표면 (332) 을 포함한다. 이하 설명되듯이, 접촉 표면의 거칠기는 변할 수 있다.
바람직한 실시형태에서, 각각의 지지 핀은 개별적 구멍으로 미끄러지듯이 유지된다. 예를 들면, 도 2 에서 도시된 바와 같이, 각각의 지지 핀은 한 쌍의 스톱들 (322, 324) 에 의해서 이동 범위내에서 제한될 수 있다. 상부 스톱 (322) 은 상부면 (261) 을 접하는 것에 의해서 하향 방향으로 각각의 핀 (320) 의 이동을 제한하도록 제공되며, 하부 스톱 (324) 은 하부면 (262) 에 접하는 것에 의해서 상향 방향으로 각각의 핀 (320) 의 이동을 제한하도록 제공된다. 상부 스톱 (322) 과 하부 스톱 (324) 상의 각각의 핀에 따른 거리는 D 이다. 바람직하게는, 각각의 핀 (320) 의 전체 범위는 (t 가 상부 벽 (260) 의 두께인 경우에, D 와 t 사이의 차이에 대응하여) 약 5mm 이하이다. 도 2 를 다시 참조할 때, 다른 실시형태에서, 상부 스톱 (322) 은 생략될 수 있고, 하부 스톱 (324) 은 상부면 (262) 에 접하는 것에 의해서 상향 방향으로 각각의 핀 (320) 의 이동을 제한하도록 제공될 수 있으며, 각각의 핀 (320) 의 하부 단말 표면 (326) 은 상부면 (271) 에 접하는 것에 의해서 하향 방향으로 각각의 핀의 이동을 제한할 수 있다. 또한, 상부 스톱 (322) 은 구멍 (265) 의 직경보다 더 큰 단면을 가진 접촉 팁 (330) 에 대응할 수 있다. 상부 및 하부 스톱들은 하향 또는 상향 방향 중 하나로 지지 핀의 수직 이동을 제한하는데 충분한 크기로 지지 핀의 주 몸체로부터 연장된 연속적이거나 불연속적인 돌출을 포함할 수 있다.
각각의 핀은 기판의 후면에 실질적으로 수직한 방향으로 독립적으로 이동하도록 구성된다. 바람직한 실시형태에서, 공극내에서 사전 설정된 가스압을 사용하면서, 기판이 균일 페더스털 상에 위치될 때, 하향 수직 방향으로 핀의 이동은 기판의 중량에 의해서 초래될 것이다. 기판을 접촉하는 각각의 핀들은 접촉 지점에서 기판 표면의 상대적 높이에 따른 크기로 하향 방향으로 위치될 것이다. 다른 말로 표현하면, 개별적 구멍들 사이에서의 핀들의 깊이 및 그에 따른 충분히 연장된 위치로부터의 거리는 기판의 표면 윤곽에 의존한다. 하지만, 공극 (280) 내에 가압된 가스에 의해서 핀들에 가해진 상향력은 기판의 중량으로 인한 하향력을 방해할 수 있다. 바람직하게는, 기판의 프로세싱 동안, 충분한 가스압이 기판의 후면과의 접촉하는 어레이내에서 각각의 핀을 유지하기 위해서 공극 (280) 내에 인가된다.
지지 핀들의 이동은 공극 (280) 내의 가스압을 증가 또는 감소시키는 것에 의해서 영향받을 수 있다. 공극 내의 가스압을 증가시키는 것에 의해서, 핀들은 그 이동의 상향 범위가 제한될 때까지 (예를 들면, 하부 스톱 (324) 이 하부면 (262) 에 맛물릴때까지) 상향으로 강제될 수 있다. 핀은 하부 스톱 (324) 이 하부면 (262) 에 맞물릴때 연장된 위치에 존재한다. 공극 내의 가스압을 감소시키는 것에 의해서, 이동의 하향 범위가 제한될 때까지 (예를 들면, 상부 스톱 (322) 이 상부면 (261) 에 맞물리거나 하부 단말 표면이 상부면 (271) 에 맞물릴 때까지) 핀들은 (자체 질량에 가해진 중력의 영향 하에서 및/또는 그 내부에 위치된 기판의 질량에 의해서 초래된 하향력으로 인해서) 하향으로 이동될 수 있다. 핀의 이동의 하향 범위가 제한될 때, 핀은 수축된 위치에 존재한다. 바람직하게는, 웨이퍼를 균일 페더스털 상으로 로딩하기 전에, 공극 (280) 내의 가스압은 어레이 (300) 내의 각각의 핀 (320) 을 그 연장된 위치로 올릴만큼 효율적인 크기 로 상승된다. 하지만, 웨이퍼가 균일 페더스털 상으로 로딩될 때, 어레이내의 지지 핀들은 오목한 (recessed) 위치에 존재할 수 있다. 웨이퍼가 균일 페더스털 상으로 로딩된 후에, 공극 (280) 내의 가스압은 고정된 채로 유지되거나 지지 핀 접촉 표면의 적어도 대부분을 웨이퍼와 맞물리게 할 정도로 충분한 크기로 증가되거나 감소될 수 있다.
지지 핀들의 위치는 하나 이상의 센서들을 사용해서 프로세싱 동안 모니터링 될 수 있다. 위치 센서로부터 출력 판독은 공극 내의 가스압을 제어하기 위해서 사용될 수 있다. 예를 들면, 공극내의 가스압은 지지 핀들 상에 기판을 위치시킨 후에 그 연장된 위치에 지지 핀들이 있는 지를 위치 센서가 표시한다면 감소될 수 있다. 유사하게, 공극 내의 가스압은 기판을 지지 핀들 상에 위치시킨 후에 지지 핀들이 그 수축된 위치에 있는지를 위치 센서가 표시한다면 증가될 수 있다.
질량 유량 압력 제어기는 공극 (280) 내의 가압된 가스에 대해서 희망된 압력 값 (고정 지점 압력) 으로 설정된다. 고정 지점 압력은 주로 기판의 질량에 따라서 결정된다. 바람직한 실시형태에서, 프로세싱될 기판은 핀 어레이 (300) 상에서 지지되며 질량 유량 제어기는 그 연장된 위치와 수축된 위치 사이에서 지지 핀들 (320) 을 유지하기 위해서 공극 (280) 으로의 가압된 가스의 흐름을 증가시키거나 감소시킨다. 프로세싱 동안, 질량 유량 제어기는 사전 설정된 값에 공극내에 가스압을 유지할 수 있고, 또는 제공된다면, 위치 센서들로부터의 입력에 기초한 공극내의 가스압의 값을 조정할 수 있다. 기판의 프로세싱 동안, 공극 (280) 내의 가스압은 바람직하게는 핀 어레이 (300) 의 대부분을 상향으로 강제하기에 충분한 수준으로 유지되어서 접촉 표면 (332) 이 기판의 후면을 맞물리게 한다. 바람직하게는, 핀 어레이 (300) 내의 각각의 핀 (320) 은 접촉 위치로 수직으로 이동시켜서 지지 핀의 접촉 표면은 기판과 접촉 (예를 들면, 열 접촉) 되게 한다. 따라서, 균일 페더스털은 지지 핀 접촉 표면들의 어레이를 포함하는 등각 지지 표면을 제공한다. 기판의 프로세싱 동안, 각각의 접촉 표면은 기판의 후면과 열 접촉할 수 있다.
기판의 프로세싱 동안, 기판은 가열된 상태가 될 수 있다. 열은, 예를 들면, 기판의 플라즈마 에칭으로부터 초래될 수도 있다. 화학 반응, 물질의 스퍼터, 이온 충돌 등으로부터의 열은 바람직하게는, 기판을 통하여, 기판/지지 핀 접촉면을 통하여, 지지 핀들의 어레이를 통하여, 핀 베이스를 통하여 및 최종적으로는 열 전송 부재로 도전된다. 열 전송 부재는 균일 페더스털을 통하여 열 도전성을 통하여 기판으로부터 열을 제거하기 위해서 채용된다. 다른 실시형태에서, 열 전송 부재는 균일 페더스털을 통하여 열 도전성을 통하여 기판으로 열을 공급할 수 있다.
기판/핀 어레이 접촉면에 대한 열적 도전성은 기판과 핀 어레이 사이의 전체 접촉 면적을 증가시키는 것 및/또는 접촉 표면의 거칠기를 최소화시키는 것에 의해서 증가될 수 있다. 일 실시형태에서, 지지 핀들의 밀집한 어레이를 제공하는 것은 기판과 핀 어레이 사이의 전체 접촉 면적을 증가시킬 수 있다.
다양한 지지 핀 어레이 패턴이 설명된다. 하지만, 설명된 어레이 패턴은 등각 지지 표면을 구성하도록 사용될 수도 있는 패턴의 표현이다. 바람직한 실시형태에서, 지지 핀 어레이는 동일한 핀들의 밀집된 어레이를 포함한다. 또 다른 실시형태에서, 하나 이상의 지지 핀들의 접촉 팁은 기판과 기판 지지 핀 사이의 열적 접촉을 최대화하도록 구성될 수 있다. 예를 들면, 열적 접촉은 접촉 팁의 거칠기 및/또는 형상을 제어하는 것에 의해서 최대화될 수 있다. 핀 어레이는 분리된 구역들을 포함할 수 있으며 여기에서 각각의 구역내의 지지 핀들의 배열은 일정하거나 변할 수 있다 (예를 들면, 지지 핀 물질, 핀 크기, 핀들 사이의 공간, 및/또는 접촉 표면 거칠기 등은 전체 지지 핀 어레이에 대해서 또는 어레이 내의 구역에 대해서 일정하거나 변할 수 있다).
기판과 기판 지지 핀들 사이의 열적 접촉의 효율성은 지지 핀 접촉 표면의 표면 거칠기를 감소시키는 것에 의해서 증가될 수 있다. 바람직하게는, 각각의 지지 핀의 접촉 표면의 표면 거칠기는 접촉을 만드는 기판의 후면의 표면 거칠기 이하이다. 하지만, 표면 거칠기는 기판과 지지 핀 사이의 희망된 열 접촉을 획득하기 위해서 제공될 수 있다. (후면에 대응된) 연마되지 않은 실리콘 웨이퍼의 표면 거칠기는 통상적으로 약 0.3 마이크론이다.
접촉 표면의 거칠기 뿐만 아니라 핀 배치 구조는 일정할 수도 있고 핀 어레이를 따라서 의도적으로 변할 수도 있다. 예를 들면, 어레이의 외부, 환형 부분에서의 지지 핀들과 비교해서 감소되고/되거나 상승된 접촉 표면 거칠기를 가진 어레이의 중심 부분에서의 지지 핀들을 제공하는 것이 바람직하다. 그러한 경우에서, 감소된 거칠기는 기판의 중심 부분에서 더욱 효율적인 열 전송을 제공할 수 있다.
핀 어레이 (300) 는 핀 어레이의 외부 외연이 실질적으로 기판의 외부 외연과 동일한 넓이를 가지도록 구성될 수 있다. 선택적으로, 핀 어레이 (300) 는 핀 어레이의 외부 외연이 기판의 외부 외연보다 작도록 구성될 수 있다. 따라서, 기판은 그 외부 에지에서 돌출 영역을 가질 수 있다. 바람직하게는, 핀 어레이는 기판이 프로세싱을 위한 균일 페더스털 상에 배치될 때 기판에 의해서 충분히 커버된다. 예를 들면, 핀 어레이의 외부 에지는 기판의 외부 에지 내부에서 약 2mm 인 지점에서 제거될 수 있다. 선택적으로, 핀 어레이의 외부 외연은 기판의 외부 외연으로 연장되거나 기판의 외부 외연을 넘어서 연장되도록 구성될 수도 있다.
핀 베이스는 바람직하게는, 순서대로 열 전송 부재 (500) 상에 설치된, 하부 RF 전극 (400) 상에 설치된다. 바람직하게는, 하부 RF 전극은 제 2 판이다. 실리콘 접착제와 같은 폴리머 접착제의 층은 밑에 놓인 전극을 핀 베이스와 결합하고/하거나 전극을 밑에 놓인 열 전송 부재와 결합하기 위해서 사용될 수 있다. 핀 베이스는 또한 납땜 또는 브래이징과 같은 다른 결합 기술을 사용해서 하부 전극에 접착될 수도 있다 (그리고/또는 하부 전극은 열 전송 부재에 접착될 수 있다). 바람직하게는, 하부 RF 전극이 제공될 때, 우수한 열 접촉이 각각의 핀 베이스-전극 및 전극-열 전송 부재 접촉면을 따라서 만들어진다. 하부 RF 전극이 제공되지 않았을 때, 바람직하게는, 우수한 열 접촉이 핀 베이스-열 전송 부재 접촉면을 따라서 만들어진다. 따라서, 다른 실시형태에서, 열 전송 시스템은 기판과 열 전송 부재 사이의 효율적이고 균일한 열 전송을 제공한다.
도 4 에는 균일 페더스털 (230) 의 부분 단면의 분해 조립도가 도시된다. 지지 핀들 (320) (320a, 320b, 320c) 이 하부면 (262) 과 맞물린 하부 스톱 (324) 과 함께 도시된다. 비-평면 후면 (601) 을 가진 기판 (600) 은, 균일 페더스털에 의해서 아직 지지되지 않는 것으로 도시된다.
도 5 에서 도 4 의 균일 페더스털의 부분 단면의 분해 조립도가 도시된다. 기판 (600) 의 부분은 지지 핀들 (320) 상에 지지되는 것으로 도시된다. 작동시, 공극 (280) 내의 가스압은 각각의 지지 핀 (320a-320c) 의 접촉 표면 (332) 이 웨이퍼 후면 (601) 과 접촉할 정도로 충분하게 각각의 지지 핀 (320) 으로 상향력을 제공한다. 특히 도 5 를 참조할 때, 제 1 지지 핀 (320a) 은 기판의 후면 상에 실질적으로 오목한 지점을 접촉하고 따라서 충분히 연장된 지점으로부터 최소한으로 위치된다. 제 2 및 제 3 지지 핀들 (320b, 320c) 각각은 다양한 상승폭 (elevation) 을 가진 지점들에서 기판을 접촉하고 따라서 그에 기초한 다양한 위치를 가진다. 따라서, 기판과 열 전송 부재 사이의 열 에너지를 효율적으로 결합시키는 등각 기판 지지 표면이 제공된다.
열 전송 시스템은 또한, 균일 페더스털로부터 기판을 로딩 및 언로딩시키는 데 사용될 수 있는, 공기를 이용한 (pneumatic) 또는 케이블-구동 리프트 핀들과 같은 리프트 핀을 제공하기 위해서 채용될 수 있다. 통상적으로, 리프트 핀 홀들은 최상 표면 (261) 으로부터, 상부 벽 (260), 공극 (280), 하부 벽 (270), 전극 (400) 및 열 전송 부재 (500) 를 통하여 연장된다. 전극 (400) 은 리프트-핀 홀들로 정렬되지만, 직경에서는 리프트 핀 홀들보다 더 큰, 그에 따라 리프트-핀이 전극에 접촉하는 것을 방지시키는 어퍼처를 포함할 수 있다. 바람직하게는, 리프트-핀들이 공극내의 가압된 가스를 리프트-핀 홀들을 통하여 유출되는 것을 방지하기 위해서 공극 (280) 과 유체적으로 연결되지 않는 고립된 통로에 위치된다. 도 2 에서, 단일 리프트 핀 (290) 은 통로 (292) 에 위치되어 이동 가능한 것으로 도시된다.
열 전송 부재는 그 위에 설치된 케이블 활성화된 리프트-핀들과 같은 리프트-핀들을 포함할 수 있고, 상기 리프트-핀들은 균일 페더스털을 향하여 및 페더스털로부터 멀어지는 방향으로 이동할 수 있어서, 기판을 균일 페더스털 상으로 올리고 내리게 하기 위해서 전극 및 핀 베이스 내의 구멍을 통하여 리프트 핀이 움직일 수 있게 한다. 진공 챔버내에서 기판을 이동시키는 케이블 활성화 구동 어셈블리는, 명세서가 본 명세서에서 전체가 참조로써 원용되어 있는, 공유된, 미국 특허 번호 제 5,796,066 호에서 설명된다. 리프트-핀 홀들의 갯수는 열 전송 시스템 디자인 및/또는 기판의 크기에 통상적으로 의존한다.
일부 플라즈마 반응기 (예를 들면, 고 전력이 제공된 반응기) 에서, 기판에 인접한 표면들 (예를 들면, 핀 베이스 (250) 및/또는 지지 핀들 (320) 의 상부면 (261)) 은 플라즈마 프로세싱 챔버 내의 플라즈마 영역에 노출되고 그에 따라 플라즈마, 즉, 이온 충돌로부터의 침식 때문에 소비될 수도 있다. 바람직하게는, 핀 베이스 (250) 및/또는 지지 핀들 (320) 은 플라즈마 환경을 견딜 수 있는 물질로부터 만들어진다. 선택적으로, 핀 베이스 (250) 및/또는 지지 핀들 (320) 은 플라즈마 환경을 견딜 수 있는 물질로 코팅될 수 있다. 핀 베이스 (250) 및/또는 지지 핀들 (320) 은 바람직하게는 선택적 하부 RF 전극에 의해서 공급된 RF 에너지가 기판 위에 발생된 플라즈마에 결합하도록 하는 물질로부터 만들어진다.
바람직하게는, 핀 베이스 (250) 는 전기적 도전성, 열적 도전성, RF 투과, 내플라즈마 물질 (plasma resistant material) 로 만들어진다. 핀 베이스에 대한 적절한 물질의 예들은 도전성 물질들 (예를 들면, 금속들) 및 반도체 물질들 (예를 들면, 실리콘, 실리콘 탄화물, 다이아몬드, 다이아몬드-유사 탄소, 흑연 등) 을 포함한다. 바람직하게는, 지지 핀들 (320) 은 전기적 도전성, 열적 도전성, 내에칭 물질 (etch resistant material) 로 만들어진다. 지지 핀들에 대한 적절한 물질들의 예들은 도전성 물질들 (예를 들면, 금속들), 반도전성 물질들 (예를 들면, 실리콘, 실리콘 탄화물, 다이아몬드, 다이아몬드-유사 탄소, 흑연 등) 을 포함한다.
열 전송 부재가 기판을 냉각시키기 위해서 채용되는 실시형태에서, 전극 (400) 은 챔버 환경 및/또는 주변 물질 및 표면으로부터 절연될 수 있다. 기판을 냉각시키기 위해서 채용된 기판 지지체에서의 하나 이상의 전극-절연층들의 사용은, 본 명세서에 의해서 내용 전체가 원용되어 있는, 공유된 미국 특허 번호 제 6,337,277 호에서 설명된다.
균일 페더스털은 바람직하게는 실질적으로 실린더 형상이고 프로세싱 챔버를 따라 축으로 정렬되도록 배열되어, 프로세싱 챔버 및 균일 페더스털은 실린더형으로 대칭이 되게 한다. 하지만, 이것은 제한이 아니며 페더스털 위치는 각각의 플라즈마 프로세싱 시스템의 특정 디자인에 따라서 변할 수 있다.
균일 페더스털이 균일한 기판 온도를 생성하는 것으로 설명되고 도시되었지만, 균일 페더스털은 또한 비-균일 기판 온도를 생성하도록 (예를 들면, 비-균일 플라즈마 밀도와 같은 다른 프로세싱 비-균일성을 보정하도록) 구성될 도 있다.
열적 결합이외에, 균일 페더스털은 균일한 전계를 생성하도록 구성될 수 있다. 도 6 을 참조할 때, 에지 링 (156) 은, 핀 베이스 및 지지 핀들을 반응물 (예를 들면, 이온 충돌) 로부터 보호하기 위해서 뿐만 아니라, 기판의 에지 주변의 프로세스의 전기적 및 기계적 특성을 개선하기 위해서 제공될 수 있다. 그것으로서, 에지 링 (156) 은 기판 (600) 의 에지를 둘러싸도록 배열되고 전극 (400) 상으로 및 핀 베이스 (250) 주변에 배치된다. 대부분의 경우에서, 에지 링 (156) 은 과도한 마멸 후에 대체되는 소모가능 부분이 되도록 구성된다. 에지 링 (156) 은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 석영 등과 같은 적절한 유전 물질로부터 형성될 수도 있다. 예로써, 실리콘으로부터 형성된 에지 링, 및 보다 명확하게는 단일 결정 실리콘 (도핑된 실리콘 탄화물) 으로부터 형성된 에지 링이 제공될 수 있다.
핀들 (320) 을 효율적으로 보호하기 위해서, 에지 링 (156) 은 통상적으로 기판 (600) 의 외부 에지를 둘러싸는 제 1 부분 (162) 및 핀 베이스 (250) 의 외부 에지를 둘러싸는 제 2 부분 (164) 을 가진다. 제 2 부분 (164) 은 통상적으로 핀 베이스 (250) 의 외부 에지에 인접하며, (제공된다면) 전극 (400) 과 기판 (600) 사이에 배치된다. 도시된 바와 같이, 제 2 부분 (164) 은 기판 (160) 이 프로세싱을 위한 균일 페더스털 (230) 상에 배치될 때 기판 (160) 에 의해서 커버된다. 일 실시형태에서, 에지 링의 제 2 부분은 기판 밑으로 약 2mm 정도 연장된다.
또한, 에지 링 (156) 의 외부 에지는 전극 (400) 의 외부 에지에 적어도 연장되도록 구성될 수 있다. 통상적으로, 하지만, 기판 (600) 을 프로세싱하는 데 필요한 전력을 감소시키기 위해서 작은 에지 링 (156) 의 폭 (하부면을 따라서 측정됨) 을 유지하는 것이 바람직하다. 예로써, 약 2 내지 15mm 사이의 폭이 제공될 수 있다. 에지 링은 바람직하게는 기판의 에지를 넘어서 연장된 결합된 영역을 제공하고 따라서 기판에 따른 전기 특성은 보다 균일해지는 경향이 있다. 또한, 에지 링 (156) 의 최상면 (예를 들면, 제 1 부분 (162)) 은 기판의 최상면에 약간 밑으로 또는 거의 동일하게 배열되어, 핀 베이스 및 에지 링은 프로세싱을 위한 기판을 받아들이기 위해서 오목한 부분을 형성하도록 협력한다. 하지만, 에지 링의 최상면의 레벨은 각각의 플라즈마 프로세싱 시스템의 특정 설계에 따라서 변할 수도 있다 (예를 들면, 기판 상으로 연장될 수도 있고 경사질 수도 있다).
에지 링 (156) 은 전기적으로 유동적일 수도 있거나 DC 접지에 전기적으로 결합될 수도 있다 (예를 들면, RF 접지일 필요는 없다). 에칭 레이트 균일성을 개선하기 위해서 균일 페더스털으로의 사용에 적합한 에지 링들의 상세는, 본 명세서에서 그 내용 전체가 참조로써 원용되어 있는, 공유된 미국 특허 번호 제 6,039,836 호 및 제 6,344,105 호에서 설명된다.
프로세싱 동안, 에지 링 (156) 은, 또한 열 전송 시스템으로 적절히 정렬된 기판을 유지하는, 보유 링으로써 역할을 할 수 있다. 예를 들면, 에지 링 (156) 은 에지 링의 상부면 (157) 이 기판 (600) 의 하부면 (601) 보다 더 높도록 제공될 수 있다. 따라서, 기판 (600) 이 핀 어레이 상에서 위치할 때, 기판의 외부 외연은 에지 링의 내부 외연에 맞물릴 수 있다. 바람직하게는, 에지 링은 에지 링의 상부면 (157) 에 대해서 오목한 기판 지지 표면을 제공하기 위해서 기판 지지 핀들의 어레이와 결합한다. 바람직한 실시형태에서, 에지 링의 상부면 (157) 및 기판의 상부면 (601) 은 기판이 프로세싱을 위한 열 전송 시스템에 의해서 지지될 때 실질적으로 공면이다.
균일 페더스털 (230) 은 또한 에지 링 (156) 과 전극 (400) 사이에서 배치된 임피던스 매칭 층 (158) 을 포함할 수 있다. 임피던스 매칭 층 (158) 은 바람직하게는 기판의 표면을 따라 전극 (400) 에 의해서 생성된 전계의 임피던스를 제어하도록 구성된다. 보다 명확하게는, 임피던스 매칭 층 (158) 은 기판 (600) 의 에지 주위에서 생성된 전계의 임피던스를 바꾸도록 구성된다. 임피던스를 바꾸는 것에 의해서, 에너지의 더 많은 균일한 결합이 기판의 표면에 따라서 생성된다. 결과적으로, 프로세싱 균일성은 기판의 중심에서의 프로세싱 레이트가 기판의 에지에서 프로세싱 레이트와 실질적으로 동일하도록 획득될 수도 있다. 따라서, 기판의 에지는 IC 들을 형성하도록 사용될 수 있으며 그에 따라 수율을 상승시킨다.
도 6 에 도시된 바와 같이, 임피던스 매칭 층 (158) 은 에지 링 (156) 과 전극 (400) 사이에서 샌드위치된다. 임피던스 매칭 층 (158) 은 전극 (400) 의 상부면에 결합되거나 에지 링 (156) 의 하부면에 결합될 수 있다. 바람직하게는, 임피던스 매칭 층은 더 우수한 열적 및 전기적 결합을 생성하기 위해서 대응 표면 (예를 들면, 에지 링 또는 전극) 상에서 결합된다. 예로써, 실리콘 엘라스토머 (silicone elastomer), 납땜 또는 브래이징과 같은 결합 프로세싱이 제공될 수 있다.
또한, 전극과 플라즈마 사이의 임피던스를 효율적으로 제어하기 위해서, 임피던스 매칭 층의 두께는 약 0.10 내지 약 10mm 사이에 있을 수 있으며, 임피던스 매칭 층은 유전체, 반도전성 또는 도전성 물질과 같은 적절한 물질로부터 형성될 수도 있다. 예로써, 실리콘, 실리콘 산화물, 실리콘 탄화물, 석영, 알루미늄, 산화처리된 알루미늄 및 알루미늄 산화물과 같은 알루미늄 세라믹과 같은 물질들이 임피던스 매칭 층을 형성하기 위해서 사용될 수 있다.
임피던스 매칭 층의 폭 (예를 들면, 표면 커버 영역의 크기) 은 또한 전극과 플라즈마 사이의 임피던스를 제어하기 위해서 사용될 수 있는 요소이다. 예를 들면, 임피던스 매칭 층의 폭은 에지 링의 폭 (예를 들면, 에지 링의 하부면을 따라) 과 동일할 수 있다. 선택적으로, 임피던스 매칭 층의 폭은 에지 링의 폭 이하일 수 있다. 임피던스 매칭 층의 폭이 에지 링의 폭보다 작은 경우에, 더 작은 임피던스 매칭 층이 에지 링의 내부 외연, 에지 링의 외부 외연을 향해서 또는 에지 링의 중간에 위치될 수도 있다. 예로써, 임피던스 매칭 층은 오직 기판의 영역내의 에지 링과 전극 사이에서 배치되도록 배열될 수도 있다. 에칭 레이트 균일성을 개선하기 위해서 균일 페더스털의 사용에 적합한 임피던스 매칭 층의 상세는, 본 명세서에 의해서 내용 전체가 참조로써 원용되어 있는, 공유된 미국 특허 번호 제 6,363,882 호 에서 개시된다.
에너지의 결합이 달성될 수 있는 수준은 통상적으로 플라즈마와 전극 사이에서 단위 면적당 전체 임피던스의 요소이다. 임피던스는 통상적으로 회로를 통하여 전하의 흐름 즉 전류의 방해물의 크기로 규정된다. 기판에 따른 높고 낮은 임피던스 영역을 가진 기판 상에서 및 기판에 따른 주어진 전력에 대해서, 기판의 낮은 임피던스 영역에서, 결합된 에너지는 높은 경향이 있으며, 기판의 높은 임피던스 영역에서는, 결합된 에너지는 낮은 경향이 있는 것으로 일반적으로 알려져있다. 따라서, 에너지의 균일한 결합은 균일 페더스털의 임피던스에 크게 의존한다.
단위 면적당 전체 임피던스는 기판의 단위 면적당 임피던스, 핀 어레이의 단위 면적당 임피던스, 에지 링의 단위 면적당 임피던스, 임피던스 매칭 층의 단위 면적당 임피던스, 및 임의의 간격들 또는 그 간격 사이에서 발견되는 접촉면들의 단위 면적당 임피던스의 함수이다. 통상적으로, 기판의 중심에서 기판 및 균일 페더스털을 통하여 생성된 임피던스는 핀들과 에지 링 사이에서의 물질 특성 차이로 인해서 균일 페더스털, 에지 링 및 기판의 에지에서의 기판을 통하여 생성된 임피던스와 다르다. 결과적으로, 기판의 에지에서의 에너지의 결합은 통상적으로 기판의 중심에서의 에너지의 결합과 다르다 (예를 들면, 비-균일하다).
임피던스 매칭 층은 기판의 에지에서의 임피던스가 기판의 중심에서의 임피던스와 동일하도록 기판의 에지에서의 임피던스 (예를 들면, 시스 전압) 를 조정하 도록 배열될 수 있다. 이 방법으로, 기판의 표면에 따른 에너지의 결합은 보다 균일하고, 따라서 프로세싱 균일성이 달성될 수 있다.
에지 링의 두께 및 임피던스 매칭 층의 두께는 희망된 결합 효과를 달성하기 위해서 최적화될 수 있다. 예를 들면, 에지 링 두께에서의 감소/증가 및 임피던스 매칭 층 두께에서의 증가/감소는 기판의 에지에서의 임피던스를 감소/증가시킬 수도 있다. 또한, 임피던스 매칭 층의 물질 특성 (예를 들면, 유전 상수) 은 기판의 에지에서의 임피던스를 기판의 중심에서의 임피던스와 일치시키도록 조정될 수도 있다. 예를 들면, 유전 상수값에서의 감소/증가는 기판의 에지에서의 임피던스를 감소/증가시킬 수도 있다. 임피던스 매칭 층의 길이 및 위치는 희망하는 결합 효과를 달성하기 위해서 최적화될 수도 있다. 예를 들면, 더 작은/더 큰 임피던스 매칭 층 길이는 기판의 에지에서의 임피던스를 감소/증가시킬 수도 있다. 추가적으로, 에지 링의 에지에 대한 임피던스 매칭 층의 위치는 또한 기판의 에지에서의 임피던스를 감소/증가시킬 수도 있다.
따라서, 에지 링의 두께, 임피던스 매칭 층의 물질 특성들, 및 임피던스 매칭층의 위치 및 길이뿐만 아니라 임피던스 매칭 층의 두께는 기판의 에지에서의 임피던스를 기판의 중심에서의 임피던스와 일치시키기 위해서 사용될 수 있다.
균일 페더스털이 균일한 전계를 생성하는 것으로 설명되고 도시되었지만, 균일 페더스털은 비-균일 플라즈마 밀도와 같은 다른 프로세싱 비-균일성들을 보정하기 위해서 비-균일 전계를 생성하도록 구성될 수도 있다. 상술한 바와 같이, 임피던스의 크기는 통상적으로 결합된 에너지의 크기에 영향을 주고 결합된 에너지 의 크기는 통상적으로 기판을 프로세싱하기 위해서 사용된 플라즈마의 에너지 및 밀도에 영향을 준다. 따라서, 전체 시스템의 프로세싱 균일성은 전극들에 의해서 생성된 전계에서의 변화시킬 수 있는 균일 페더스털을 의도적으로 설계하는 것에 의해서 개선될 수도 있다. 특정 실시형태에서, 임피던스 매칭 층은 기판의 표면에 따른 전계에서의 변화를 제공하기 위해서 균일 페더스털의 임피던스를 바꾸기 위해서 배열된다.
전술한 설명으로부터 플라즈마로부터의 일정한 에너지 플럭스가 가정되었으며 실질적으로 균일한 웨이퍼 표면 온도는 열 전송 부재와 결합한 균일 페더스털을 포함하는 열 전송 시스템을 사용해서 획득될 수 있다는 것을 알 수 있다. 등각 지지 표면의 동작의 원칙들은 기판의 표면을 따라 비-균일 및/또는 비대칭 플라즈마 밀도들의 경우에까지 확장될 수 있다. 그러한 경우에, 접촉 표면들의 표면 거칠기 및/또는 지지 핀 분배 (예를 들면, 보통의 접촉 영역 부분) 가 기판 상의 다양한 위치들에서 기대된 플라즈마 밀도 윤곽을 일치시키기 위해서 조정될 수도 있다.
바람직한 실시형태에 따라서, 상술한 열 전송 시스템을 사용해서 기판을 프로세싱하는 방법이 제공된다. 방법은 프로세싱 챔버 내에 결합된 열 전송 시스템을 가진 프로세싱 챔버에 기판을 제공하는 단계, 열 전송 시스템의 균일 페더스털 상의 기판을 지지하는 단계, 및 기판을 프로세싱하는 단계를 포함할 수 있다. 프로세스는 챔버에 프로세싱 가스를 공급하는 단계 및 프로세싱 가스를 플라즈마에 활성화시키는 단계 및 프로세싱 단계 동안 플라즈마로 기판의 노출된 표면을 에 칭시키는 단계를 포함할 수 있다. 하지만, 기판의 노출된 표면은 프로세싱 단계 동안 코팅될 수 있다. 프로세싱 가스는 챔버내로 유도적으로 결합시키는 안테나에 무선 주파수 에너지를 제공하는 것과 같은 적절한 기술에 의해서 플라즈마내로 활성화될 수 있다. 프로세싱 단계 동안, 기판은 열 전송 부재내의 액체 냉각제를 순환시키는 것에 의해서 냉각될 수 있으며, 열 전송 부재는 등각 지지 표면과 우수한 열 접촉 상태이다. 선택적으로, 기판은 가열된 열 유체를 열 전송 부재에 제공하는 것에 의해서 가열될 수 있다. 기판내의 온도 변화들은 핀 베이스에 의해서 지지되는 온도 센서로 감지될 수 있다.
프로세스는 플루오르화 실리콘 산화물 (FSG) 과 같은 도핑된 실리콘 산화물, 실리콘 이산화물과 같은 비도핑된 실리콘 산화물, 스핀-온 글래스 (SOG), 붕소 인산 규산염 글래스 (BPSG) 및 인산 규산염 글래스 (PSG) 와 같은 규산염 글래스들, 도핑 또는 비도핑된 열적 생장 실리콘 산화물, 도핑 또는 비도핑된 TEOS 증착된 실리콘 산화물 등과 같은 다양한 유전층들의 에칭에 적용될 수 있다. 유전 도펀트는 붕소, 인 및/또는 비소를 포함한다. 유전체는 다결정 실리콘, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 또는 그들의 합금과 같은 금속들, 티타늄 질화물과 같은 질화물, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드 등과 같은 금속 실리사이드와 같은 도전성 또는 반도전성 층위에 놓일 수 있다.
열 전송 시스템은 기판의 표면을 따라 높은 수준의 프로세싱 균일성을 가진 기판들을 프로세싱할 수 있다. 특히, 균일 페더스털은 기판의 후면 온도를 제 어할 수 있고 따라서 그 상에 지지된 기판의 정면 온도를 제어할 수 있는 등각 기판 지지 표면을 제공한다. 또한, 균일 페더스털의 임피던스가 제어될 수 있으며, 따라서 그를 통하여 결합된 전계는 균일 또는 비균일하게 될 수 있다.
일 구성에서, 지지 핀들 및 임피던스 매칭 층은 균일 페더스털은 기판 표면에서의 균일한 온도 및 프로세싱 챔버 내부의 균일한 전계를 생성하도록 배열된다. 결과적으로, 기판을 프로세싱하기 위해서 사용된 이온 에너지 및 이온 밀도는 보다 균일해지며, 따라서 균일한 프로세싱이 달성될 수 있다.
다른 구성에서, 균일 페더스털 및 임피던스 매칭 층 중 하나 또는 모두는 균일 페더스털이 기판의 표면에 따라 변하는 온도 및/또는 다른 비-균일성 (예를 들면, 비-균일 플라즈마 밀도) 을 보정하기 위한 변하는 전계를 생성하도록 배열된다. 결과적으로 프로세싱 균일성이 개선될 수 있다. 추가적으로, 열 전송 시스템은 프로세싱 동안 기판 및 에지 링 모두를 냉각시키도록 구성되며, 결과적으로, 프로세싱에서의 비-균일성을 생성하는 경향이 있는 온도, 압력 및 도전성 변동을 감소시킨다. 따라서, 열 전송 시스템은 에지 차단 (edge exclusion) 을 감소시키고 기판 수율을 증가시킨다. 열 전송 시스템은 기판 크기의 범위 (예를 들면, 150 내지 300mm 또는 그 이상) 을 프로세싱하기 위해서 채용될 수도 있다.
전술한 관점에서, 열 전송 시스템은 정전기 클램핑 소자의 사용없이 균일 페더스털에 의해서 지지된 웨이퍼의 표면을 따라 실질적으로 개선된 온도 및/또는 전계 균일성을 제공할 수 있음을 알 수 있다.
본 발명이 바람직한 실시형태를 참조하여 설명되었지만, 변형들 및 수정들이 당업자에게 명확한 것으로 이해되어야 한다. 그러한 변형들 및 수정들은 이하 첨부된 청구항들에 의해서 규정된 본 발명의 범위 및 권한 내에 속하는 것으로 생각되어야 한다.

Claims (35)

  1. 열 전송 시스템의 상부면의 반도체 프로세싱을 위해서 기판을 지지하도록 채용된 열 전송 시스템으로서,
    열 전송 부재를 뒤덮으며 이에 열 접촉하는 핀 베이스로서, 상부 벽, 하부 벽 및 상기 상부 벽들 및 하부 벽들 사이에서 연장되고 그 사이에 공극 (cavity) 을 규정하는 측벽을 포함하며, 여기에서 상기 상부 벽은 구멍 어레이를 포함하는, 상기 핀 베이스;
    각각의 상기 구멍들 내에서 미끄러지게 배치되는 각 기판 지지 핀들의 어레이로서, 각 기판 지지 핀은 상기 핀 베이스와 열 접촉하며 그 상부 말단에 접촉 팁을 가지는, 상기 기판 지지 핀들의 어레이; 및
    상기 공극과 유체적으로 연결되고, 상향으로 각각의 지지 핀을 이동시킬 정도로 충분한 양의 가압된 가스를 상기 공극에 공급하도록 채용된 가압된 가스원을 포함하는, 열 전송 시스템.
  2. 제 1 항에 있어서,
    상기 핀 어레이는 지지 핀들의 밀집된 어레이를 포함하는, 열 전송 시스템.
  3. 제 1 항에 있어서,
    상기 지지 핀들은 약 5mm 이하로 떨어져 위치하거나/위치하고 상기 지지 핀 들의 직경은 약 0.5mm 와 3mm 사이인, 열 전송 시스템.
  4. 제 1 항에 있어서,
    상기 지지 핀들의 각각은 상기 구멍들 중 각각의 구멍내에서 미끄러지듯이 이동하도록 채용된 실린더형 핀 몸체를 포함하며,
    상기 실린더형 핀 몸체는 실질적으로 일정한 외경을 가지며, 상기 구멍들 중 각각은 실질적으로 일정한 내경을 가지며, 여기에서, 상기 실린더형 핀 몸체의 상기 외경은 상기 실린더형 핀 몸체가 위치된 상기 구멍의 상기 내경보다 약 0.1 과 5 % 사이만큼 더 작은, 열 전송 시스템.
  5. 제 1 항에 있어서,
    상기 지지 핀들은 금속 또는 반도체를 포함하며/포함하거나,
    상기 핀 베이스는 금속 또는 반도체를 포함하는, 열 전송 시스템.
  6. 제 1 항에 있어서,
    상기 지지 핀들 및/또는 상기 핀 베이스의 상부면은 전기적 도전성 및/또는 스퍼터 저항성 금속 또는 반도체로 코팅된, 열 전송 시스템.
  7. 제 1 항에 있어서,
    상기 지지 핀들 및 상기 핀 베이스는 상기 기판이 상기 지지 핀들 상에서 지 지될 때, 상기 기판에서 상기 열 전송 부재로 열 에너지를 전송하도록 채용된, 열 전송 시스템.
  8. 제 1 항에 있어서,
    상기 핀 베이스는 납땜 (solder), 브레이즈 (braze) 또는 접착제를 통하여 상기 열 전송 부재에 결합되는, 열 전송 시스템.
  9. 제 1 항에 있어서,
    상기 기판의 후면에 온도를 측정하도록 채용된 하나 이상의 온도 센서를 더 포함하는, 열 전송 시스템.
  10. 제 1 항에 있어서,
    상기 지지 핀들은 상기 지지 핀들의 상향 또는 하향 이동을 제한하도록 채용된 하나 이상의 스톱을 더 포함하는, 열 전송 시스템.
  11. 제 1 항에 있어서,
    상기 접촉 팁들은, 상기 기판이 상기 지지 핀들과 물리적 접촉할 때, 상기 기판과 상기 기판 지지 핀들 사이에서 열 접촉을 최대화하도록 구성된, 열 전송 시스템.
  12. 제 1 항에 있어서,
    각각의 접촉 팁은 약 0.3 마이크론 이하의 평균 표면 거칠기를 가진 접촉 표면을 포함하는, 열 전송 시스템.
  13. 제 1 항에 있어서,
    각각의 접촉 팁은 실질적으로 평평하거나 실질적으로 반구형인, 열 전송 시스템.
  14. 제 1 항에 있어서,
    상기 지지 핀들의 각각은 상기 기판의 후면과의 열 접촉에 의해서 하향으로 위치되도록 채용되며 상기 지지 핀들의 각각은 상기 공극내의 양성 가스압에 의해서 상향으로 위치되도록 채용된, 열 전송 시스템.
  15. 제 1 항에 있어서,
    각각의 지지 핀의 전체 수직 이동 범위는 약 5mm 이하인, 열 전송 시스템.
  16. 제 1 항에 있어서,
    상기 핀 어레이는 1000 개 이상의 지지 핀들을 포함하는, 열 전송 시스템.
  17. 제 1 항에 있어서,
    상기 열 전송 부재는, 열 유체를 유동 채널에 제공하도록 채용된 열 유체원과 유체적으로 연결되는 상기 유동 채널들을 포함하며,
    상기 열 유체는 물, 액체 헬륨, 액체 질소, 에틸렌 글리콜, 프로필렌 글리콜, FluorinetTM 및 이들 혼합물로 구성된 그룹으로부터 선택되는, 열 전송 시스템.
  18. 제 1 항에 있어서,
    상기 열 전송 부재의 상부 상에 다수의 열전기 소자들을 더 포함하는, 열 전송 시스템.
  19. 제 18 항에 있어서,
    상기 열전기 소자들은 동심 원들로 배치되는, 열 전송 시스템.
  20. 제 1 항에 있어서,
    가압된 가스를 상기 공극으로 유입시키기 위한 상기 핀 베이스내의 가스 공급 입구 및 가압된 가스를 상기 공극으로부터 유출시키기 위한 선택적인 가스 출구를 더 포함하며,
    상기 가압된 가스는 헬륨, 질소 및 아르곤으로 구성된 그룹에서 선택되는, 열 전송 시스템.
  21. 제 1 항에 있어서,
    상기 기판의 상부면에 인접하게 플라즈마를 생성하도록 구성된 RF 전극을 더 포함하며, 상기 핀 베이스의 하부면이 상기 RF 전극의 상부면에 결합되며 상기 RF 전극의 하부면은 상기 열 전송 부재의 상부에 결합되며,
    여기에서, 상기 결합은 납땜, 브레이즈 또는 접착제를 포함하는, 열 전송 시스템.
  22. 제 21 항에 있어서,
    상기 RF 전극 상에 배치된 에지 링, 및 상기 RF 전극과 상기 에지 링 사이에 배치된 임피던스 매칭층을 더 포함하며,
    상기 에지 링은 상기 RF 전극 및 상기 핀 베이스를 플라즈마로부터 보호하도록 구성되며, 상기 기판이 상기 열 전송 시스템에 의해서 지지될 때 상기 RF 전극과 상기 기판 사이에 배치되도록 구성된 제 1 부분을 가지며,
    상기 임피던스 매칭층은 상기 RF 전극 및/또는 상기 에지 링에 결합되며, 상기 RF 전극과 플라즈마 사이에 임피던스를 제어하도록 구성되며, 상기 임피던스는 상기 기판의 상부면을 따른 프로세싱 균일성을 개선하기 위해서 전계에 영향을 주도록 배열되는, 열 전송 시스템.
  23. 제 21 항에 있어서,
    상기 기판은 반도체 웨이퍼이며,
    상기 전극은 상기 웨이퍼의 직경보다 작거나, 크거나 또는 동일한 직경을 가지지만, 상기 웨이퍼의 직경보다 약 2mm 이하 작거나 약 2mm 이상 큰, 열 전송 시스템.
  24. 제 21 항에 기재된 열 전송 시스템을 포함하는 프로세싱 챔버 내의 기판을 프로세싱하는 방법으로서,
    상기 기판을 상기 지지 핀들 상에 지지하는 단계;
    상기 공극 내의 가스압을 제어하는 것에 의해서 상기 기판의 후면과 대부분의 접촉 팁들을 접촉시키는 단계; 및
    상기 기판을 프로세싱하는 단계를 포함하는, 기판 프로세싱 방법.
  25. 제 24 항에 있어서,
    상기 프로세싱 챔버는 플라즈마 에칭 챔버이며,
    상기 프로세싱 단계는 상기 기판의 상부면에 인접하도록 플라즈마를 생성하는 단계 및 상기 기판의 상부면 상에 노출된 층을 상기 플라즈마로 에칭하는 단계를 포함하는, 기판 프로세싱 방법.
  26. 제 24 항에 있어서,
    상기 프로세싱 단계는 상기 기판의 상부면 상에 층을 형성하는 단계를 포함하는, 기판 프로세싱 방법.
  27. 제 24 항에 있어서,
    상기 공극 내의 상기 가스압은, 프로세싱 단계 동안 상기 접촉 팁의 95% 이상이 상기 기판의 후면과 열 접촉하기에 효율적인 수준으로 유지되는, 기판 프로세싱 방법.
  28. 제 24 항에 있어서,
    상기 열 전송 부재 내의 열 유체를 순환시키는 것에 의해서, 프로세싱 단계 동안 상기 열 전송 부재를 약 100K 이하의 온도로 냉각시키는 단계를 포함하는, 기판 프로세싱 방법.
  29. 제 24 항에 있어서,
    상기 열 전송 부재내의 열 유체를 순환시키는 것 및/또는 상기 기판 지지 핀들의 상기 온도를 제어하기 위해서 다수의 열전기 모듈에 전류를 공급하는 것에 의해서, 프로세싱 단계 동안 약 450K 이하의 온도로 상기 기판을 냉각시키는 단계를 포함하는, 기판 프로세싱 방법.
  30. 제 24 항에 있어서,
    상기 열 전송 부재와 상기 기판 사이에서 약 200K 이상 또는 약 300K 이상의 온도 변화율을 유지하는 단계를 포함하는, 기판 프로세싱 방법.
  31. 제 24 항에 있어서,
    상기 프로세싱 단계는 화학 증기 증착, 플라즈마 증기 증착, 물리적 증기 증착, 스퍼터, 이온 주입, 플라즈마 에칭 또는 레지스트 스트리핑 (resist stripping) 을 포함하는, 기판 프로세싱 방법.
  32. 제 24 항에 있어서,
    상기 기판을 클램핑하지 않으면서 상기 기판을 지지하는 단계 및 프로세싱하는 단계를 포함하는, 기판 프로세싱 방법.
  33. 제 24 항에 있어서,
    상기 프로세싱 챔버는 상부 샤워헤드 전극 및 하부 전극을 포함하는 듀얼 주파수 용량적 결합 플라즈마 반응기를 포함하며,
    RF 에너지는 상기 하부 전극에 두개의 상이한 주파수들에서 상기 하부 전극에 공급되거나 또는 상이한 제 1 및 제 2 주파수들에서 상기 샤워헤드 전극 및 하부 전극에 공급되는, 기판 프로세싱 방법.
  34. 기판의 표면을 프로세싱하기 위한 플라즈마 프로세싱 시스템으로서,
    프로세싱을 위한 플라즈마를 점화하고 유지하도록 채용된 진공 프로세싱 챔버; 및
    상기 프로세싱 챔버내에 배치된 제 1 항에 기재된 열 전송 시스템을 포함하는, 플라즈마 프로세싱 시스템.
  35. 열 전송 시스템의 상부면의 반도체 프로세싱을 위해서 기판을 지지하도록 채용된 열 전송 시스템으로서,
    열 전송 부재를 뒤덮으며 이에 열 접촉하는 핀 베이스로서, 상부 벽, 하부 벽 및 상기 상부 벽들 및 하부 벽들 사이에서 연장되고 그 사이에 공극을 규정하는 측벽을 포함하며, 여기에서, 상기 상부 벽은 구멍 어레이를 포함하는, 상기 핀 베이스;
    각각의 상기 구멍들 내에서 미끄러지게 배치되는 기판 지지 핀들의 어레이로서, 각 기판 지지 핀은 상기 핀 베이스와 열 접촉하며 그 상부 말단에 접촉 팁을 가지는, 상기 기판 지지 핀들의 어레이; 및
    상기 공극과 유체적으로 연결되고, 상향으로 각각의 지지 핀을 이동시키기에 충분한 양의 가압된 가스를 상기 공극에 공급하도록 채용된 가압된 가스원을 포함하며,
    상기 열 전송 부재는 유동 채널에 열 유체를 제공하도록 채용된 열 유체원과 유체적으로 연결된 상기 유동 채널을 포함하는, 열 전송 시스템.
KR1020077008962A 2004-10-13 2005-10-06 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템 KR101265807B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/962,568 US7244311B2 (en) 2004-10-13 2004-10-13 Heat transfer system for improved semiconductor processing uniformity
US10/962,568 2004-10-13

Publications (2)

Publication Number Publication Date
KR20070061884A true KR20070061884A (ko) 2007-06-14
KR101265807B1 KR101265807B1 (ko) 2013-05-24

Family

ID=36144020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077008962A KR101265807B1 (ko) 2004-10-13 2005-10-06 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템

Country Status (6)

Country Link
US (1) US7244311B2 (ko)
JP (2) JP5006200B2 (ko)
KR (1) KR101265807B1 (ko)
CN (1) CN101040059B (ko)
TW (1) TWI404163B (ko)
WO (1) WO2006044198A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130092204A (ko) * 2012-02-10 2013-08-20 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20200058688A (ko) * 2018-11-20 2020-05-28 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
KR100966430B1 (ko) * 2004-08-31 2010-06-28 엘지디스플레이 주식회사 기판지지핀을 구비하는 소프트 베이크 장치 및 이를이용한 소프트 베이킹 방법
KR100657166B1 (ko) * 2005-08-30 2006-12-13 동부일렉트로닉스 주식회사 구리 금속 배선의 형성 방법
US7779638B2 (en) * 2005-12-27 2010-08-24 Intel Corporation Localized microelectronic cooling apparatuses and associated methods and systems
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
WO2009072242A1 (ja) * 2007-12-05 2009-06-11 Panasonic Corporation 薄膜形成装置および薄膜形成方法
JP4533926B2 (ja) * 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
MX2010007722A (es) * 2008-01-15 2010-08-09 First Solar Inc Sistema y metodo para depositar un material sobre un sustrato.
JP4426642B2 (ja) * 2008-02-18 2010-03-03 三井造船株式会社 原子層成長装置および原子層成長方法
EP2247768A2 (en) * 2008-02-27 2010-11-10 S.O.I.Tec Silicon on Insulator Technologies Thermalization of gaseous precursors in cvd reactors
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN101924003B (zh) * 2009-06-12 2013-09-11 北京北方微电子基地设备工艺研究中心有限责任公司 电极结构及等离子体设备
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US20110049091A1 (en) * 2009-08-25 2011-03-03 Silverbrook Research Pty Ltd Method of removing photoresist and etch-residues from vias
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
FR2960340B1 (fr) * 2010-05-21 2012-06-29 Commissariat Energie Atomique Procede de realisation d'un support de substrat
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) * 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP6085616B2 (ja) * 2012-02-03 2017-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ、リソグラフィ装置、デバイス製造方法及び基板ホルダの製造方法
US8592786B2 (en) * 2012-03-23 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Platen clamping surface monitoring
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102097109B1 (ko) * 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10049773B2 (en) 2013-04-03 2018-08-14 Lockheed Martin Corporation Heating plasma for fusion power using neutral beam injection
US9959942B2 (en) 2013-04-03 2018-05-01 Lockheed Martin Corporation Encapsulating magnetic fields for plasma confinement
US9928926B2 (en) 2013-04-03 2018-03-27 Lockheed Martin Corporation Active cooling of structures immersed in plasma
US9934876B2 (en) 2013-04-03 2018-04-03 Lockheed Martin Corporation Magnetic field plasma confinement for compact fusion power
US9959941B2 (en) 2013-04-03 2018-05-01 Lockheed Martin Corporation System for supporting structures immersed in plasma
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9809491B2 (en) * 2013-09-09 2017-11-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device and method for baking substrate
JP5786142B2 (ja) * 2013-11-07 2015-09-30 パナソニックIpマネジメント株式会社 蒸着方法
CN105514016B (zh) * 2014-09-23 2019-10-29 北京北方华创微电子装备有限公司 承载装置及半导体加工设备
JP2016142468A (ja) * 2015-02-03 2016-08-08 大陽日酸株式会社 希釈冷凍装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE112016005136T5 (de) * 2015-11-09 2018-07-26 Applied Materials, Inc. Unterseitenverarbeitung
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
CN106876233B (zh) 2017-03-09 2018-09-14 成都京东方光电科技有限公司 承载装置及离子注入设备
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
JP2021508775A (ja) * 2017-12-20 2021-03-11 テックネティックス グループ, エルエルシーTechnetics Group, Llc 能動的温度制御機能を有する蒸着処理システム及び関連する方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP7332614B2 (ja) * 2018-03-23 2023-08-23 アプライド マテリアルズ インコーポレイテッド 分離した裏側ヘリウム供給システム
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11839907B2 (en) * 2018-08-17 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Breaking-in and cleaning method and apparatus for wafer-cleaning brush
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
DE102018009630A1 (de) * 2018-12-11 2020-06-18 Vat Holding Ag Stifthubvorrichtung mit Temperatursensor
JP2020107857A (ja) * 2018-12-28 2020-07-09 東京エレクトロン株式会社 載置台、基板処理装置及び制御方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
US11434561B2 (en) 2020-03-27 2022-09-06 STATS ChipPAC Pte. Ltd. Cooling device and process for cooling double-sided SiP devices during sputtering
CN113451170A (zh) * 2020-03-27 2021-09-28 新科金朋私人有限公司 用于在溅镀期间冷却双面sip器件的冷却设备和过程
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4685999A (en) 1985-10-24 1987-08-11 Texas Instruments Incorporated Apparatus for plasma assisted etching
JPH01268126A (ja) * 1988-04-20 1989-10-25 Toshiba Corp ウエハ加工装置
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0456145A (ja) * 1990-06-22 1992-02-24 Hitachi Ltd プラズマ中の基板温度の測定装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5266527A (en) 1991-09-17 1993-11-30 Texas Instruments Incorporated Conformal wafer chuck for plasma processing having a non-planar surface
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
WO1996008838A1 (en) 1994-09-15 1996-03-21 Materials Research Corporation Apparatus and method for clampling a substrate
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6140612A (en) 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6236555B1 (en) 1999-04-19 2001-05-22 Applied Materials, Inc. Method for rapidly dechucking a semiconductor wafer from an electrostatic chuck utilizing a hysteretic discharge cycle
US6430022B2 (en) 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
JP2003217801A (ja) * 1999-12-14 2003-07-31 Ibiden Co Ltd セラミックヒータおよび支持ピン
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
WO2001056074A1 (fr) 2000-01-28 2001-08-02 Hitachi Tokyo Electronics Co., Ltd. Support de tranche, systeme d'exposition et procede de fabrication de dispositif a semiconducteur
US6337277B1 (en) 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
JP2002064132A (ja) * 2000-08-22 2002-02-28 Tokyo Electron Ltd 被処理体の受け渡し方法、被処理体の載置機構及びプローブ装置
JP2002110652A (ja) * 2000-10-03 2002-04-12 Rohm Co Ltd プラズマ処理方法およびその装置
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
US6695946B2 (en) 2001-04-18 2004-02-24 Applied Materials Inc. Cooling system
US6898064B1 (en) * 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
JP2003213430A (ja) * 2002-01-18 2003-07-30 Mitsubishi Heavy Ind Ltd 製膜装置の基板加熱装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7455748B2 (en) 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130092204A (ko) * 2012-02-10 2013-08-20 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20200058688A (ko) * 2018-11-20 2020-05-28 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법

Also Published As

Publication number Publication date
JP2008516462A (ja) 2008-05-15
WO2006044198A2 (en) 2006-04-27
TWI404163B (zh) 2013-08-01
KR101265807B1 (ko) 2013-05-24
US7244311B2 (en) 2007-07-17
JP5006200B2 (ja) 2012-08-22
JP2012054594A (ja) 2012-03-15
US20060075969A1 (en) 2006-04-13
CN101040059A (zh) 2007-09-19
TW200627574A (en) 2006-08-01
WO2006044198A3 (en) 2006-06-22
CN101040059B (zh) 2011-06-15

Similar Documents

Publication Publication Date Title
KR101265807B1 (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
JP6717985B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
US7993460B2 (en) Substrate support having dynamic temperature control
JP3129419U (ja) 基板の温度を制御する装置
JP5238114B2 (ja) 電極アッセンブリ
KR101919644B1 (ko) 디척 어시스트된 웨이퍼 후측 플라즈마를 갖는 정전 척
US6308654B1 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
KR102374523B1 (ko) 유전체 물질들의 화학적 에칭을 위한 챔버 장치
US6440221B2 (en) Process chamber having improved temperature control
EP0892422A2 (en) Improvements in or relating to plasma reactors
CN102867726A (zh) 具有减少聚合物沉积特性的等离子约束环组件
JP2012500470A (ja) 温度制御式ホットエッジリング組立体
CN110690096B (zh) 静电吸盘、等离子体处理设备以及制造半导体装置的方法
CN109716497B (zh) 用于宽范围温度控制的加热器基座组件
JPH10223621A (ja) 真空処理装置
WO2013062804A1 (en) Thermal management of edge ring in semiconductor processing
JP2023517716A (ja) 基板処理チャンバにおける処理キットのシース及び温度制御
JP2004282047A (ja) 静電チャック
KR102650167B1 (ko) 정전 척 및 그를 포함하는 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170428

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee