TWI323018B - Method and apparatus for controlling temperature of a substrate - Google Patents

Method and apparatus for controlling temperature of a substrate Download PDF

Info

Publication number
TWI323018B
TWI323018B TW094135006A TW94135006A TWI323018B TW I323018 B TWI323018 B TW I323018B TW 094135006 A TW094135006 A TW 094135006A TW 94135006 A TW94135006 A TW 94135006A TW I323018 B TWI323018 B TW I323018B
Authority
TW
Taiwan
Prior art keywords
base
substrate
electrostatic chuck
conduit
assembly
Prior art date
Application number
TW094135006A
Other languages
English (en)
Other versions
TW200616139A (en
Inventor
John Holland
Theodoros Panagopoulos
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200616139A publication Critical patent/TW200616139A/zh
Application granted granted Critical
Publication of TWI323018B publication Critical patent/TWI323018B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Jigs For Machine Tools (AREA)
  • Physical Vapour Deposition (AREA)

Description

1323018 第竹丨汐〇“號專^案於年 ?月修-ΤΓ 九、發明說明: 【發明所屬之技術領域】 本發明之實施例係有關於半導體基材處理系統 確而言,本發明有關於用來控制半導體基材處理系 基材溫度的方法與設備。 。更明 統中之
【先前技術】 在積體電路的製造過程中,精確地控制各種製 是達成基材内部具有一致的處理結果並使各個基材 理結果具有再現性的必要條件》當處理基材時,整 上的溫度變化與溫度梯度可能不利於材料沉積、 度、階梯覆蓋率 '特徵傾斜角度以及其他半導體元辛 例如,橫跨整個基材的溫度預定分佈形態即是達到 良率的關鍵要素之一》 在一些製程方法中,會於處理過程中藉由一靜 將基材固持於基材基座上。該靜電夾盤係藉著失子 劑或固定件而耦接至該基座的底座。該夹盤可能具 埋式的電加熱器,並且該電加熱器係以可流通流體 連接至後方的熱交換氣體來源,以於處理過程中控 溫度。 然而,傳統基材基座無法有效地控制基材整個 之溫度分佈輪廓。而無法控制基材溫度均勻性這點 一基材中或基材與基材之間的處理均一性以及元件 處理過之基材的整體品質等方面均具有不良影響。 程參數 間之處 個基材 钱刻速 參數。 高基材 電夾盤 、黏著 有一包 的方式 制基材 直徑上 對於單 良率與 5 1323018 因此,目前需要一種能在半導體基材處理設備中處理 基材時用來控制基材溫度的改良方法。 【發明内容】
本發明大致關於一種在半導體基材處理設備中處理基 材時用來控制基材溫度的方法與設備。該方法與設備能提 高在整個基材直徑上的溫度控制輪廓,並適用於蝕刻、沉 積、植入與熱處理系統以及其他多種需要控制工件之溫度 分佈的應用中。 本發明一實施例係提供一種基材基座組件,該基材基 座組件包含一個耦接至一金屬底座的靜電夾盤。該靜電夾 盤包含至少一夾盤電極,且該金屬底座内部包含至少兩流 體隔離的導管迴路。
在另一實施例中,該基座組件包含一支撐件,該支撐 件係藉著一材料層連接至至一底座。該材料層具有至少兩 個熱傳導係數不同的區域。在另一實施例中,該基材基座 組件包含一靜電夾盤。在又一實施例中,一基座組件具有 多個通道,且該等通道位於該底座與該支撐件之間,以將 冷卻氣體供應至該材料層的附近,進而控制該支撐件與該 底座之間的熱交換,因而控制位於該支撐件上之基材的溫 度分佈輪靡。 該基材組件包含一支撐件,並利用一材料層將該支撐 件連接至該底座。該材料層具有至少兩個熱傳導係數不同 的區域。在另一實施例中,該支撐間係一靜電夾盤。在又 6 1323018 一實施例中,一基座組件具有多個位於該底座與該支撐件 之間的通道,以將冷卻氣體供應至該材料層附近,進一歩 控制該支撐件與該底座之間的熱傳導,而有助於控制位在 該支撐件上之基材的溫度分佈輪廓。 【實施方式】
本發明大致關於一種在處理過程中控制基材溫度的方 法與設備。雖然文中係以諸如一處理反應器(模組)或 CENTURA®整合式半導體晶圓處理系統(購自 Applied Materials, Inc. of Santa Clara, California)等半導體基材 處理設備為例來描述本發明,然本發明亦可應用於其他處 理系統中,包括蝕刻、沉積、植入、熱處理或其他需要控 制基材或工件溫度分佈輪廓的用途中。
第1圖繪示一示範性蝕刻反應器1 00的示意圖,該蝕 刻反應器 1 0 0具有根據本發明所實施的基材基座組件 1 1 6。此處所顯示的蝕刻反應器1 0 0係用來說明本發明,而 非用來限定本發明範圍。 蝕刻反應器100通常包含一處理室110、一配氣盤(gas panel) 1 38與一控制器1 40。該處理室1 1 0包含一導電主體 (壁)130與一頂板120,以圈圍出一處理體積。處理氣體係 從該配氣盤138供應至該處理室110的處理體積中。 該控制器140包含一中央處理器(CPU)144、一記憶體 142與支援電路146。該控制器140係耦接至該蝕刻反應器 100的多個元件,且該控制器140會控制該等元件、控制 7 第收l號專利案听年7月修正 該處理至110中執行的處理步驟,以及幫助一選擇性數據 與積體電路廠房中的資料庫進行交換。 在所繪示的實施例中’該頂板120係一實質平坦的介 電部件《該處理室110的其他實施例則可能具有其他種類 的頂板,例如圓頂狀的頂板。該頂板120的上方安置有天 線112’該天線112包含一個或多個感應線圈元件,例如 圖中所示範的同軸線圈112A與112B。該天線112透過一 第一匹配網路170耦接至一射頻(RF)電漿電源118。 在一實施例中,該基材基座組件丨丨6包含一支撐件 126、一 導熱層(thermoconductive layer)134、一底座 114、 一軸環(collar ring) 152、一 接合環(j〇int ring) 154、一間隔 板(spacer)178、一接地套管(ground sleeve)164 與一架設組 件(mounting assembly)162。該架設組件162將該底座114 耦接至該處理室110。該底座Π4通常由鋁或其他金屬材 料所構成。在所繪示的實施例中,該底座114更可選擇性 地包含至少一包埋式加熱器158(圖中顯示一個加熱器158 作為示範)、至少一包埋式插入件168(圖中顯示一環狀插 入件)以及多個導管160,且該等導管以可流體連通的方式 耦接至一加熱或冷卻液體來源182。在該實施例中,更藉 著一選擇性的間隔板丨78使該底座114與該接地套管164 熱隔離。 該導管160與加熱器158可用來控制該底座H4的溫 度’藉以加熱或冷卻該支撐件126,進而在處理過程中部 份控制位於該支撐件126上之基材150的溫度。 1323018
構成該插入件168之材料的熱傳導係數不同 114鄰近區域材料之熱傳導係數。通常,該插入 熱傳導係數]、於該底座的㈣導係數4又一實 該插入件168可能由一種具有異向性的材料所福 由具有方向依賴性熱傳導係數的材料所構成。 168的功能係相對於熱傳導路徑中該些不具有插 座鄰近區域的熱傳導速率而藉著該等導管16〇 114來局部性地改變該支撐件126間的熱傳導速 可藉著控制該插入件的數目、形狀、大小、位置 係數,來控制該支撐件以及位於其上之基材的湛 雖然第1圖中所繪示的插入件為環圈狀然,該 形狀可為各種樣式β 該導熱層134係安置於該底座114的夾盤 iso上’並幫助該支撐件126與該底座1Μ之間 (即,熱交換)》在一示範性實施例中,該導熱層 黏著層’其機械性地將該支撐件接合至支撐表面 者’該基材基座組件116可包含諸如夾子、螺絲 顯示),以將該支撐件126固定至該底座114。利 如熱電偶等感應器(未顯示)來監控該支撐件126 114的溫度,且該等感應器耦接至一溫度監控器 該支樓件126係安置於該底座上,且被環圈 所圍繞。該支撐件可由鋁、陶瓷或其他適合於處 用來支撐基材150的材料所製成。在一實施例中 件126為陶瓷。該基材15〇可藉著重力而安置在 丨於該底座 件1 6 8的 1施例中, ^成,即, 該插入件 f入件之底 透過底座 率。因此, .與熱傳導 .度分佈。 :插入件的 :支撐表面 丨的熱耦合 1 3 4 係一 丨1 8 0 0或 等硬體(未 丨用多個諸 與該底座 174 » 152 與 154 .理過程t ,該支撐 該支撐件 9 126上,或藉由真空、靜電力、機械性夾合等方式 在該支撐件1 26上。.在第1圖所繪示的實施例中, 件126為一靜電夾盤188。 該靜電失盤188 —般是由陶瓷或類似的介電材 成’並包含至少一個由電源128所控制的夾盤電極 —實施例中’該靜電夾盤188可能包含至少_射頻$ electrode’未顯示),該射頻電極係透過一第二匹 124而輕接至一基材偏壓電源122’且該靜電夫盤亦 含至少一包埋式加熱器184,並使用一電源132來 加熱器184 。 該靜電夾盤188可能更包含多個氣體通道(未; 例如多個溝槽,該些通道形成於該夾盤的基材支 1 76中’且流體連通性地耦接至一熱交換氣體來源 氣體來源1 48。運作時,諸如氦氣(He)等背側氣體 控制壓力供應至該等氣體通道中,以提高該靜電夾 與該基材15〇之間的熱傳導。傳統上,至少在該靜 之基材支樓表面176上提供能抵抗處理基材時所使 學物質與溫度的塗層。 在一實施例中,該支撐件〗2 6包含至少一包埋 件1 6 6 (圖中係顯示一環形插入件i 6 6 ),且該包埋式 166係由至少一種其熱傳導係數與該支撐件126鄰 材料之熱傳導係數不同的材料所製成。通常,形成 件166之材料的熱傳導係數小於該鄰近區域之材料 導係數。在又一實施例中,該插入件係由具有異向 來固定 該支樓 料所形 。在又 ΐ 擊(RF 配網路 可能包 控制該 頃示), 撐表面 或背側 係以一 盤 188 電夾盤 用之化 式插入 插入件 近區域 該插入 的熱傳 性熱傳 10 1323018
導係數的材料所形成。在另一實施例中(未顯示), 插入件166與該基材支撐表面176共平面。 如同底座114的插入件168般,該支撐件126 入件166之熱傳導係數、形狀、尺寸、位置與數量 擇性地加以挑選,以控制穿過該基座組件1 1 6的熱 而在該支撐件126之基材支撐表面以及橫跨該基材 整個直徑上達到一預定模式的溫度分佈形態。 該導熱層 1 3 4包含多個材料區域(圖中顯示兩 區域102與104以及圓形區域106),該些區域中至 兩者具有不同的熱傳導係數。區域102、104、106 少一種材料所形成,且該些材料之熱傳導係數不同 熱層 134之鄰近區域材料的熱傳導係數。在又一 中,包含該等區域102、104與106的一或多種材料 有一異向性熱傳導係數。例如,在該導熱層13 4中 垂直或平行於支撐表面180之材料的熱傳導係數可 少一其他方向中之熱傳導係數不同。該導熱層134 1 0 2、1 0 4與1 0 6之間的熱傳導係數可加以選擇,以 夾盤126與該底座114間不同的側向熱傳導速率, 制橫跨該基材1 5 0直徑上的溫度分部輪廓。 在又一實施例中,顯示於第2A圖中的多個間 可能位於該導熱層134之至少兩鄰近區域之間。’在 層134中,該些間隙190可能會形成具有預定之外 (form factor)的氣體填充體積或真空體積。或者, 190可能形成於該導熱層 134的一區域中(如第1 至少一 中的插 均可選 傳導, 150的 個環形 少其中 可由至 於該導 實施例 可能具 ,該些 能與至 之區域 增進該 進而控 隙 19 0 該導熱 形架構 該間隙 C圖所 11 第2圖係沿者第i a圖之線段? , λ 冰仅2-2所繪示的基 剖面圖。在所繪示的實施例中, W丞迮 •茨導熱層134示範性岫白 含該等環形區域102、104與該圓形 圓$ &域106。在另一實 例中’該導熱層134可能包含多於= —1固或少於三個區域, 且該些區域具有不同的外形架構, 列如該些區域可能配置 成格狀 '放射狀或極狀(p〇lar)等多 ^ a ^ u 夕種排列方式。構成該導 熱層134之該些區域的材料(例如 黏者材料)可製成能進一 步變成一硬質黏著化合物的黏膠( 、Paste) '膠帶或黏著薄膜 (adhesive foil)等形式。該導熱層u 中之該等材料的熱傳 導係數範圍係0.01至200W/mK,a a _ # 且在一不範性實施例中, 其範(M S 1GW/mKH實施例中,該等鄰近 區域之熱傳導係數差值約介於〇.丨至1〇w/mK,該導熱層 1 34之最内側區域與最外側區域之間的熱傳導係數差值約 介於0.1至10W/mK之間。 適合的黏著材料範例包括但不侷限於含有丙烯酸系化 合物(acrylic compounds)或石夕類化合物(silicon-based compounds)的黏膠或膠帶。該等黏著材料可更包含至少一 種熱傳導性陶瓷填充物,例如氧化鋁(Al2〇3)、氮化鋁(A1N) 與二硼化鈦(TiB2)及其他類似物。例如,商品名為 THERMATTACH®的膠帶便適合做為該導熱層 134的黏著 膠帶,其可購自於Parker Hannfin有限公司之Chomerics 分部(Wolburn,Massachusetts)。 在該導熱層134中,該些具有一預定熱傳導係數之區 12 1323018 苐仲心J號專利案??年7月修e - 域的熱傳導性、外形配置、尺寸與數量可選擇 選’以控制該靜電夾盤126與該底座之間熱傳 作過程中於該夹盤的基材支撐表面176與該基 到一預定的溫度分佈形態❶為了更進一步透過 • 114與該支撐件126間之導熱層134來控制 • 用’係提供一或多個用來流經一熱傳導介質的 該等通道108係穿過該底座114而連接至諸如 φ 熱傳導介質來源156。適當的冷卻氣體範例包 氣等氣體。當冷卻氣體位於該等通道108中時 盤126與該底座114間之熱傳導路徑的一部份 108的位置以及所供應之冷卻氣體的壓力、流 密度與組成對整個基座組件 116的熱傳驾 transfer profile)提供更佳的控制。此外,在處 的過程中,若能原位控制(controlled in-situ)通 氣體的密度與流速,則可在處理過程中改變對 的溫度控制,而更進一步提高處理效能。雖然 φ 單個冷卻氣體來源1 56,但亦可使一個或多個 源連接至該等通道1 0 8,以獨立控制個別通道 卻氣逋的種類、壓力及/或流速,進而有助於 • (even greater level)溫度控制 β 在第1Α圖所繪示的實施例中,該等通道 於該支撐表面180中。然而,該些通道1〇8亦 份形成於該支撐表面180中,至少一部份位於II 的底面中,或是至少一部份位於該導熱層134 性地加以挑 導,而在操 材150上達 介於該底座 該熱傳導作 通道 108 » 冷卻氣體等 括氦氣與氮 '其為該夹 ,該等通道 速、溫度、 f輪廓(heat 理基材150 道108中之 該基材150 圖中僅顯示 冷卻氣碰來 1 08中之冷 提供更佳的 1 〇 8係形成 可至少一部 i支撐件1 2 6 中或上述三 13 1323018 第物號專利案f年1月修止 種方式的結合。在一實施例中,該'基座組件116中安置有 約2至10條的通道1〇8,且可選擇性地使該些通道1〇8的 壓力約維持在760托耳(大氣壓力)至托耳(T〇rr)之間。 例如,如第3-4圖所示般,該等通道ι〇8中之至少一者可 部分或完全位於該靜電夾盤126中。更明確而言,第3圓 係繪示該基材基座組件116的部份示意囷,其中該等通道 108完全位於該靜電夾盤126中》第4圖係繪示該基材基 座組件116的部份示意圖,其中該等通道1〇8係一部份位 於該底座Π4中,且一部份位於該靜電夹盤126中。第$ 圖繪示該基材基座組件116的部份示意圓,其中該等通道 108係形成於該導熱層134中。雖然在第5圖中,該等通 道安置於該導熱層134的不同區域1〇2、1〇4、1〇6之間, 但亦可令一或多個通道貫穿形成於一或多個區域1〇2、1〇4 與106中。 回到第1A圖,該等通道108與插入件i66、I”的位 置、形狀、尺寸與數量、該些插入件166、168的熱傳導係 數以及位於該等通道1〇8中的氣體可加以選擇性地挑選, 以控制從該支擔件126至該底座的熱傳導,進而在操作過 程中使該夹盤126之基材支撐表面176達到一預定的溫度 分部形態,並控制該基材150的溫度分佈輪廓。在又一實 施例中,可選擇性地控制至少一通道108中的冷卻氣體壓 力以及至少一導管160中的冷卻液體流速,以達到並/戋提 高該基材的溫度控制。亦可藉著獨立控制個別通道中 的氣體種類、壓力與或流速來控制熱傳導速率。 14 1323018
在又一實施例中,可單獨或合伯 134、插入件166、168、通道108、導窄 的冷卻氣體壓力以及導管160中的冷卻 制方法來達成該基材150中的預定溫度 在上述實施例中,更可選擇性地控制該 上及該基材150中的預定溫度分佈形態 材 150的過程中因處理氣體與/或基材 熱流不均勻性。 第6圖係一方法6 0 0的流程圖,其 導體基材處理設備中控制基材溫度之方 法600示範性地包括在上述實施例中所 中執行於該基材上的多個處理步驟,且 其他處理系統中執行。 該方法600起始於步驟601,並進;ί 602中,該基材150被傳送至位於該處 座組件1 1 6。步驟604係利用諸如機械寻 將該基材150安置於該靜電夾盤188的 上。步驟6 0 6係使電源1 3 2與該靜電夾 該基材150固定在該夾盤188的支撐表 係於該處理室110中根據該控制器140 法來處理該基材150。在步驟608中,爲 係利用如第1 - 5圖所述之基座組件1 1 6 控制特性來幫助該基材1 5 0達到指定的 可藉著改變該通道108中之氣體的一種 •使用諸如導熱層 ‘160、通道108中 液體流速等上述控 分佈形態。此外, 基材支撐表面1 76 ,以補償在處理基 偏壓電漿所造成之 顯示本發明用於半 法的實施例。該方 敘述之反應器1 0 0 該方法600亦可在 j·步驟602。在步驟 理室11 0中的該基 壁(未顯示)等裝置 基材支撐表面176 盤1 8 8銜接,以將 面176上°步驟608 指示執行的製程方 :基材基座組件1 1 6 的一個或多個溫度 溫度分佈形態。亦 或多種特性,以選 15 1323018 第秘丨號蔚膝炚年?月修正 擇性地原位(in-situ)調整在步驟608中該夾盤114的熱傳 導速率與/或熱傳導輪廓。當完成該處理製程時,步驟610 係使該電源132與該靜電夾盤脫離以鬆開該基材15〇,並 將該基材出該處理室110»步驟612係方法600的終點。
第7_9圖係一底座700之實施例的垂直剖面圖、下視 圖與邹份剖面圖。使用該底座700對於文中任一種基材基 座組件有所助益。在第7-9圖.所繪示的實施例中,該底座 700包含一頂面702與一底面704。一通道706形成於該底 座700的底面7〇4中。一蓋件708覆蓋著該通道706而形 成—流體導管710。,該導管710包含—入口 714與一出 口 716’以便於接受一適當且便利的銜接附件而連接至如 第1圖所示般的熱傳導流體控制源182»
在第7-9圖所繪示的實施例中,該通道706係經機械 加工而形成於該底座700的底面中。係執行機械加工產生 一個或多個鰭片’且該些鰭片係延伸至由通道706所定義 出來的區域中。該鰭片712能增加導管710的熱傳導表面 積’而得以提高該導管7 1 0中之流體與該底座700間的熱 傳導。 該蓋件708位於該通道7〇6中並耦接至該底座700, 以定義出該導管710。在第7-9圖所繪示的實施例中,該 蓋件708係連續第焊接至該底座7〇〇 ’以避免在真空狀態 下在該導管710中流動的流體洩漏出來。該蓋件708亦可 藉由其他的密封方法來密封性地耦接至該底座7〇〇。 第10A-H圖係繪示具有不同導管71〇設計路線之底座 16 1323018 第州號專利案0年1月修疋 700的下視圖。如圖所示般,該導管 710可盤繞(routed) 以提供該支撐組件一預定的溫度輪廓,因而控制位於該支 撐組件上方之基材的溫度輪廓。 第11-12圖繪示一底座1100之另一實施例的部份剖面 圖與下視圖,該底座可應用於文中所述之基材基座組件 中。第11-12圖中所繪示的底座11〇〇通常包含至少兩個分 離的冷卻迴路1102與1104,該等冷卻迴路係形成於該底 座1100中以定義出至少兩個獨立且可控制的溫度區域 11 06與1 1 〇8。該等冷卻迴路11 〇2與1 1 〇4通常是利用如上 述方法或其他適當方法所形成的導管❶在一實施例中,該 第一冷卻迴路1102係徑向地配置於該第二冷卻迴路n 〇4 的外側,使得該等溫度控制區域1丨〇6與1丨〇 8呈同心狀。 該等迴路1102與1104可呈輻射狀定位,或是具有其他幾 何構形。該等冷卻迴路11〇2與u〇4可耦接至單一個溫度 又丨控制的熱傳導流體來源’或如第11圖所繪示的實施例 般’迴路1102與11〇4可分別連接至分離的熱傳導流體來 源1 1 1 2與1 1 1 4,以獨立控制該等區域1 1 0 5與11 0 6中的 度。亦可選擇性地將一類似上述插入件1 6 8的插入件 1110側向地安置在該第一與第二冷卻迴路之間,以在區域 6與1108之間提供更佳的熱絕緣效果。該插入件1110 了如第11圖所示般地延伸至該底座1100的下表面,或是 如第12圓所示般地包埋在該底座1100中。 因此’本發明提供一種能彈性地控制位於其上方之基 材溫度的基材支撐基座組件》該基材支撐基座组件的各種 17 1323018
特徵可加以選擇,以提供多個溫度控制區域,進 基材的溫度分佈輪廓。 上述内容係有關於本發明多個實施例之描述 可在不偏離本發明範圍下設計出其他或更進一歩 且本發明範圍係由後附申請專利範圍所界定。 【圖式簡單說明】 為了更詳細了解本發明之上述特徵,係參考 施例對本發明作更進一步的描述。然而,需明白 所繪示者僅為本發明之示範性的實施例,並不能 本發明範圍。本發明亦包含其他等效實施例。 第1 A圖係一示範性半導體基材處理設備, 含一個根據本發明所作的基材基座; 第1 B -1 C圖係一基材基座實施例的部分剖面 材基座具有多個間隙,且該等間隙位於該基材基 料層中的不同位置内; 第2圖係沿著第1 A圖之線段2 - 2所繪示的 剖面圖; 第3圖係本發明另一實施例的部分剖面圖; 第4圖係本發明另一實施例的部分剖面圖; 第5圖係本發明又一實施例的部分剖面圖; 第6圖係一流程圖,其顯示用來控制位於一 上基材溫度的方法實施例; 第7圖係一基座組件之底座實施例的縱向剖 而控制該 。然而, 實施例, 附圖與實 該些附圖 用來限制 該設備包 圖’該基 座之一材 基材基座 基材基座 面圖; 18 1323018 第〒φ( y 6义號薪丨j案12年?月修正 第8圖係第7圖中之底座的下視圖; 第9圖係第7圖中之底座的部分剖面圖; 第10A-10H圖係一底座的下視圖,用來顯示位於該底 座内部之導管的不同配置方式; 第11圖係一基座組件之底座的另一實施例的下視 圖;以及 第12圖係第11圖之底座的部分剖面圖。
為了便於了解,各圖式中的相同元件係以相同的元件 符號加以標示。並且不需作更進一步說明的情況下,即可 了解如何將一實施例中的元件與特徵與其他實施例作有利 的結合。 【主要元件符號說明】
100 反 應 器 1 64 接 地 套 管 102、 1 04 106 區域 1 66-168 插 入 件 108 通 道 1 70 匹 配 網 路 110 處 理 室 1 74 監 控 器 1 1 2 A 11 2B線 圈 1 76 基 材 支 撐 表 面 114 底 座 1 78 間 隔 板 116 基 座 組 件 1 80 夾 盤 支 撐 表 面 118 電 漿 電 源 1 82 流 體 來 源 120 頂 板 1 84 加 熱 器 122 偏 壓 電 源 1 88 靜 電 夹 盤 124 匹 配 網 路 1 90 間 隙 19 1323018
1 2 6支撐件 1 2 8 失盤電源 1 30主體(壁) 1 3 2 電源 1 34導熱層 1 3 8 配氣盤 140控制器 1 4 2 記憶體 144 中央處理器 1 4 6支援電路 1 4 8 氣體來源 1 50基材 1 5 2軸環 1 5 4接合環 1 5 6冷卻氣體來源 1 5 8加熱器
600 方法 602 -612 步驟 700底座 702 頂面 704 底面 706 通道 7 0 8 蓋件 7 1 0 導管迴路 7 1 2 鰭片 1 1 00底座 1 1 0 2第一冷卻 1 1 0 4第二冷卻 1 1 06第一區域 1108第二區域 1 1 1 0插入件 1 1 1 2第一熱傳 1 1 1 4第二熱傳 迴路 迴路 導流體來源 導流體來源 1 6 2 架設組件 20

Claims (1)

1323018 罢仲汾4號蔚膝衫年?月修正 月π日修(更)正替換買 十、申請專利範圍: --—----------- 1. 一種基材基座組件,其包含:
一靜電夾盤,具有至少一夾盤電極;以及 一金屬底座,耦接至該靜電夾盤且内部設置有至少兩 隔離的流體導管迴路,其中該至少兩隔離的流體導管迴路 包含一外側迴路和一内側迴路,其中該内側迴路或該外側 迴路至少其中一者在一入口與一出口之間順原路折回,以 及其中該入口和該出口相對於該底座之中心線的角度位向 是偏離的。 2.如申請專利範圍第1項所述之基材基座組件更包 括: 一插入件,其位於該等導管迴路之間,且該插入件之 熱傳導係數低於該底座之熱傳導係數。
3.如申請專利範圍第1項所述之基材基座組件,其中 該内側導管迴路實質上定義在該底座的一區域中且徑向地 位於該外側導管迴路的内側。 4.如申請專利範圍第1項所述之基材基座組件,其中 該底座更包含: 至少一鰭片,其延伸至該等導管的至少其中一者中。 21 1323018
5.如申請專利範圍第1項所述之基材基座組 該底座更包含: 一通道,形成於該底座中;以及 一蓋件,其密封性地安置於該通道中,以定義 導管迴路之其中一者。 6.如申請專利範圍第5項所述之基材基座組 該通道更包括: 至少一鰭片,其從該蓋件或該底座之其中一者 一由該通道所定義出來的空間中。 7.如申請專利範圍第5項所述之基材基座組 該蓋件係連續地焊接於該底座。 8. 如申請專利範圍第5項所述之基材基座組 括: 一第一加熱器,其位於該靜電夾盤中;以及 一第二加熱器,其位於該底座中。 9. 如申請專利範圍第1項所述之基材基座組 括: 至少一氣體通道,其形成於該靜電夾盤與該底 ,其中 出該等 ,其中 延伸至 ,其中 ,更包 ,更包 i之間。 22 1323018
1 0·如申請專利範圍第1項所述之基材基座組件 包括: 一材料,該材料具有至少兩個熱傳導係數不同 域,且該等區域位於該靜電夾盤與該基材之間。 11.如申請專利範圍第1項所述之基材基座組件 中該等導管迴路之位向實質上平行於該靜電夾盤的一 支撐件表面。 12. —種基材基座組件,其包括: 一陶瓷靜電夾盤; 一夾盤電極,位於該陶瓷靜電夾盤中; 一金屬底座,耦接至該靜電夾盤之底面; 一加熱器,位於該靜電夾盤或該金屬底座之至少 一者内; 一第一流體導管迴路,形成於該金屬底座内;以 一第二流體導管迴路,形成於該金屬底座中且側 間隔安置於該第一導管迴路之内側,其中該第一流體 迴路或該第二流體導管迴路之至少其中一者在一入口 出口之間順原路折回,並且其中該入口和該出口相對 底座之中心線的角度位向是偏離的。 13.如申請專利範圍第12項所述之基材基座組 ,更 的區 ,其 基材 其中 及 向地 導管 和一 於該 •,更 23 1323018 包括: 一插入件,該插入件之熱傳導係數小於該底座之熱傳 導係數且設置在該第一與第二導管迴路之間。 14.如申請專利範圍第12項所述之基材基座組件更包 括:
一第二加熱器,位於該金屬底座中,其中該第一加熱 器位於該靜電夾盤中。 15.如申請專利範圍第12項所述之基材基座組件更包 括: 至少一氣體通道,該氣體通道形成於該靜電夹盤與該 金屬底座之間。 16.如申請專利範圍第12項所述之基材基座組件更包
一黏著材料,該黏著材料具有至少兩個熱傳導係數不 同的區域,且位於該靜電夾盤與該基材之間。 17.如申請專利範圍第12項所述之基材基座組件,其 中該第一導管迴路之位向係實質平行於該靜電夾盤的一支 撐表面。 24 1323018 18. —種處理室,其包括: 一室主體; 一金屬底座,位於該室主體中; 一陶瓷靜電夾盤,其係耦接至該金屬底座; 一夾盤電極,其係位於該陶瓷靜電夾盤中; 一加熱器,位於該靜電夾盤或該金屬底座之至少其中 一者内;
一第一流體導管迴路,係形成於該金屬底座中;以及 一第二流體導管迴路,係形成於該金屬底座中且與該 第一流體導管迴路流體隔開(fluidly isolated),該第二流體 導管迴路側向地間隔設置在該第一導管迴路之内側,其中 該第一流體導管迴路或該第二流體導管迴路之至少其中一 者在一入口和一出口之間順原路折回;以及 至少一鳍片,延伸至該等導管迴路的其中一者中。
19.如申請專利範圍第18項所述之疼理室更包括: 一插入件,該插入件之熱傳導.係數小於該底座之熱傳 導係數且設置在該第一與第二導管迴路之間。 20.如申請專利範圍第18項所述之處理室更包括: 至少一氣體通道,位於該靜電夾盤與該金屬底座之間。 21.如申請專利範圍第18項所述之處理室更包括: 25 1323018 一黏著材料,該黏著材料具有至少兩個熱傳導係數不 同的區域,且位於該靜電夾盤與該基材之間。 22. —種用來控制位於一基座組件上之一基材溫度分 佈輪廓的方法,該方法包括: 將一基材固定於一基材支撐基座組件的基材支撐件 上;
使一第一熱交換流體流入一第一導管迴路中,該第一 導管迴路位於該基材支撐基座組件的一金屬底座中,且該 金屬底座耦接在該基材支撐件的下方;以及 使一第二熱交換流體流入一第二導管迴路中,該第二 導管迴路位於該金屬底座中,其中該第一導管迴路或該第 二導管迴路之至少其中一者在一入口和一出口之間順原路 折回,以及其中該入口和該出口相對於該底座之中心線的 角度位向是偏離的。
23.如申請專利範圍第22項所述之方法,其中該第一 熱交換流體的溫度與該第二熱交換流體的溫度是獨立控制 的0 24.如申請專利範圍第22項所述之方法更包括: 當處理該基材時,改變該第一熱交換流體之壓力、流 速、密度或組成之至少其中一者。 26 1323018 25.如申請專利範圍第22項所述之方法更包括: 提供一熱交換介質至一通道,該通道側向地位於該基 材支撐件與該底座之間的界面中。 2 6.如申請專利範圍第22項所述之方法更包括:
施加電力至一電阻加熱器,該電阻加熱器位在該基材 支撐件或該底座之至少其中一者内。 27.如申請專利範圍第22項所述之方法更包括: 個別控制位於該基材支撐件與該底座中的該等電阻加 熱器。
27
TW094135006A 2004-10-07 2005-10-06 Method and apparatus for controlling temperature of a substrate TWI323018B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/960,874 US7544251B2 (en) 2004-10-07 2004-10-07 Method and apparatus for controlling temperature of a substrate

Publications (2)

Publication Number Publication Date
TW200616139A TW200616139A (en) 2006-05-16
TWI323018B true TWI323018B (en) 2010-04-01

Family

ID=36144104

Family Applications (2)

Application Number Title Priority Date Filing Date
TW095218711U TWM314913U (en) 2004-10-07 2005-10-06 Substrate pedestal assembly
TW094135006A TWI323018B (en) 2004-10-07 2005-10-06 Method and apparatus for controlling temperature of a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW095218711U TWM314913U (en) 2004-10-07 2005-10-06 Substrate pedestal assembly

Country Status (5)

Country Link
US (3) US7544251B2 (zh)
JP (2) JP4481913B2 (zh)
KR (2) KR100815539B1 (zh)
CN (2) CN1779938A (zh)
TW (2) TWM314913U (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
JP4732978B2 (ja) * 2006-08-02 2011-07-27 東京ガスケミカル株式会社 サーモチャック装置およびサーモチャック装置の製造方法
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080038448A1 (en) * 2006-08-11 2008-02-14 Lam Research Corp. Chemical resistant semiconductor processing chamber bodies
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US7777160B2 (en) * 2007-12-17 2010-08-17 Momentive Performance Materials Inc. Electrode tuning method and apparatus for a layered heater structure
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR100960391B1 (ko) * 2007-12-26 2010-05-28 포항공과대학교 산학협력단 나노 디바이스의 제조 방법 및 그 제조 장치
KR20090071060A (ko) * 2007-12-27 2009-07-01 주성엔지니어링(주) 정전척 및 그를 포함하는 기판처리장치
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR100916186B1 (ko) * 2008-05-14 2009-09-08 주식회사 템네스트 온도균일화 수단이 내장되어 있는 정전척
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
CN102057332B (zh) * 2008-06-10 2014-04-09 Asml荷兰有限公司 用于热调节光学元件的方法和系统
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
EP2338164A4 (en) * 2008-08-29 2012-05-16 Veeco Instr Inc VARIABLE THERMAL RESISTANCE PLATE HOLDER
JP2010062195A (ja) * 2008-09-01 2010-03-18 Hitachi High-Technologies Corp プラズマ処理装置及び試料載置電極
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
JP5382744B2 (ja) * 2009-06-24 2014-01-08 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
CN102576548B (zh) * 2009-11-03 2017-03-15 应用材料公司 针对图案化磁盘媒介应用的等离子体离子注入工艺期间的基板温度控制
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
WO2011082371A2 (en) * 2009-12-30 2011-07-07 Solexel, Inc. Mobile electrostatic carriers for thin wafer processing
KR101108337B1 (ko) * 2009-12-31 2012-01-25 주식회사 디엠에스 2단의 냉매 유로를 포함하는 정전척의 온도제어장치
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR101636764B1 (ko) * 2010-05-31 2016-07-06 주식회사 미코 정전척 및 이를 포함하는 기판 처리 장치
JP5618638B2 (ja) * 2010-06-07 2014-11-05 株式会社日立ハイテクノロジーズ プラズマ処理装置または試料載置台
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8822876B2 (en) * 2010-10-15 2014-09-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5982758B2 (ja) 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
JP5882614B2 (ja) * 2011-06-29 2016-03-09 株式会社日本セラテック セラミックスヒータ
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
CN104067691B (zh) * 2011-08-30 2017-04-19 沃特洛电气制造公司 高清晰度加热器和操作方法
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
WO2014046840A1 (en) * 2012-09-19 2014-03-27 Applied Materials, Inc. Methods for bonding substrates
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5992388B2 (ja) * 2012-12-03 2016-09-14 日本碍子株式会社 セラミックヒーター
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
CH707480B1 (de) * 2013-01-21 2016-08-31 Besi Switzerland Ag Bondkopf mit einem heiz- und kühlbaren Saugorgan.
US20140209242A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Substrate processing chamber components incorporating anisotropic materials
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
JP6196095B2 (ja) * 2013-08-07 2017-09-13 日本特殊陶業株式会社 静電チャック
JP2016539489A (ja) * 2013-09-20 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 集積静電チャックを備えた基板キャリア
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
CN103762145B (zh) * 2013-12-23 2016-03-09 中国电子科技集团公司第四十八研究所 旋转盘高温靶室系统
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10153191B2 (en) 2014-05-09 2018-12-11 Applied Materials, Inc. Substrate carrier system and method for using the same
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9786539B2 (en) * 2014-07-16 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd Wafer chuck
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6278277B2 (ja) * 2015-01-09 2018-02-14 住友大阪セメント株式会社 静電チャック装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10154542B2 (en) * 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10499461B2 (en) * 2015-12-21 2019-12-03 Intel Corporation Thermal head with a thermal barrier for integrated circuit die processing
JP6633931B2 (ja) * 2016-02-10 2020-01-22 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP6639940B2 (ja) * 2016-02-17 2020-02-05 日本特殊陶業株式会社 保持装置および保持装置の製造方法
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
JP6445191B2 (ja) * 2016-05-09 2018-12-26 株式会社アルバック 静電チャック、および、プラズマ処理装置
WO2017195893A1 (ja) * 2016-05-13 2017-11-16 Toto株式会社 静電チャック
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106091470A (zh) * 2016-06-21 2016-11-09 上海工程技术大学 一种制冷设备及其制冷方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11043401B2 (en) * 2017-04-19 2021-06-22 Ngk Spark Plug Co., Ltd. Ceramic member
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP6924618B2 (ja) 2017-05-30 2021-08-25 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
WO2018237388A1 (en) * 2017-06-23 2018-12-27 Watlow Electric Manufacturing Company HEAT PLATE BASE AT HIGH TEMPERATURE
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN109213086B (zh) * 2017-06-29 2020-10-23 台湾积体电路制造股份有限公司 制程系统与制程方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
DE112018005933B4 (de) 2017-11-21 2021-11-18 Watlow Electric Manufacturing Company Keramiksockelanordnung und Verfahren zur Bildung einer Keramiksockelanordnung
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109962030B (zh) * 2017-12-22 2022-03-29 中微半导体设备(上海)股份有限公司 一种静电吸盘
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113439330A (zh) 2019-02-12 2021-09-24 朗姆研究公司 具有陶瓷单体的静电卡盘
CN110289241B (zh) * 2019-07-04 2022-03-22 北京北方华创微电子装备有限公司 静电卡盘及其制作方法、工艺腔室和半导体处理设备
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP7316179B2 (ja) * 2019-10-04 2023-07-27 東京エレクトロン株式会社 基板支持台、及びプラズマ処理装置
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
KR102372810B1 (ko) * 2020-03-27 2022-03-11 주식회사 케이에스티이 정전척
KR102615216B1 (ko) * 2020-05-15 2023-12-15 세메스 주식회사 정전 척, 기판 처리 장치 및 기판 처리 방법
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
CN112144033B (zh) * 2020-09-09 2022-12-09 北京北方华创微电子装备有限公司 基座组件及半导体加工设备
CN114388323A (zh) * 2020-10-20 2022-04-22 中微半导体设备(上海)股份有限公司 一种静电夹盘及其等离子体处理装置
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备
CN117127154A (zh) * 2023-10-16 2023-11-28 粤芯半导体技术股份有限公司 一种半导体器件中的互连金属的沉积方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US6052271A (en) * 1994-01-13 2000-04-18 Rohm Co., Ltd. Ferroelectric capacitor including an iridium oxide layer in the lower electrode
US5673647A (en) 1994-10-31 1997-10-07 Micro Chemical, Inc. Cattle management method and system
JP3537544B2 (ja) 1995-06-22 2004-06-14 大日本スクリーン製造株式会社 グラビア彫刻システム
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
TW286414B (en) * 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6482747B1 (en) 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6256187B1 (en) 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3723398B2 (ja) 2000-01-28 2005-12-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002270680A (ja) * 2001-02-28 2002-09-20 Applied Materials Inc 基板支持方法及び基板支持装置
JP4003540B2 (ja) 2001-05-30 2007-11-07 ヤマハ株式会社 基板処理方法と装置
KR20030000768A (ko) * 2001-06-27 2003-01-06 삼성전자 주식회사 새도우 링이 부착된 정전척
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
US20030089457A1 (en) 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
JP4218822B2 (ja) 2002-07-19 2009-02-04 東京エレクトロン株式会社 真空断熱層を有する載置機構
CN2585414Y (zh) 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
EP1635388A4 (en) * 2003-06-17 2009-10-21 Creative Tech Corp DIPOLAR ELECTROSTATIC CLAMPING DEVICE
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
GB0320469D0 (en) * 2003-09-01 2003-10-01 Nokia Corp A method of controlling connection admission
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
DE602005023977D1 (de) * 2005-07-29 2010-11-18 Eta Sa Mft Horlogere Suisse Elektronische Taucheruhr mit einer analogen redundanten Anzeige der momentanen Tiefe
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US9883549B2 (en) 2006-07-20 2018-01-30 Applied Materials, Inc. Substrate support assembly having rapid temperature control
US10257887B2 (en) 2006-07-20 2019-04-09 Applied Materials, Inc. Substrate support assembly

Also Published As

Publication number Publication date
US20060076108A1 (en) 2006-04-13
TWM314913U (en) 2007-07-01
US20060076109A1 (en) 2006-04-13
JP4481913B2 (ja) 2010-06-16
KR100815539B1 (ko) 2008-03-20
CN1945807B (zh) 2012-11-28
CN1779938A (zh) 2006-05-31
US8075729B2 (en) 2011-12-13
CN1945807A (zh) 2007-04-11
KR20060121773A (ko) 2006-11-29
US7544251B2 (en) 2009-06-09
JP2006140455A (ja) 2006-06-01
US20070102118A1 (en) 2007-05-10
KR20060052119A (ko) 2006-05-19
KR101045730B1 (ko) 2011-06-30
JP3129419U (ja) 2007-02-22
TW200616139A (en) 2006-05-16

Similar Documents

Publication Publication Date Title
TWI323018B (en) Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
TWI473199B (zh) 靜電吸盤組件
US6907924B2 (en) Thermally conductive chuck for vacuum processor
CN100452306C (zh) 具有流体间隙的衬底保持器和制造衬底保持器的方法
US8092602B2 (en) Thermally zoned substrate holder assembly
TW201448108A (zh) 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
US5936829A (en) Thermally conductive chuck for vacuum processor
US6508062B2 (en) Thermal exchanger for a wafer chuck
JP2004282047A (ja) 静電チャック
EP1456867A1 (en) Heated vacuum support apparatus
JP2004253789A (ja) 静電チャック
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees