CN1945807B - 控制衬底温度的装置 - Google Patents

控制衬底温度的装置 Download PDF

Info

Publication number
CN1945807B
CN1945807B CN2006101505390A CN200610150539A CN1945807B CN 1945807 B CN1945807 B CN 1945807B CN 2006101505390 A CN2006101505390 A CN 2006101505390A CN 200610150539 A CN200610150539 A CN 200610150539A CN 1945807 B CN1945807 B CN 1945807B
Authority
CN
China
Prior art keywords
pedestal
substrate
electrostatic chuck
material layer
base assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101505390A
Other languages
English (en)
Other versions
CN1945807A (zh
Inventor
约翰·霍兰德
瑟多若斯·帕纳果泊洛斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1945807A publication Critical patent/CN1945807A/zh
Application granted granted Critical
Publication of CN1945807B publication Critical patent/CN1945807B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

本发明提供一种在处理期间控制衬底温度的底座组件。在一个实施例中,底座组件包括耦合到金属基座的静电卡盘。静电卡盘包括至少一个卡盘电极,金属基座至少包括设置在其中的导管环,用于调控所述卡盘的温度。所述环的路径被布置来补偿穿过卡盘形成的孔洞。

Description

控制衬底温度的装置
技术领域
本发明实施例主要涉及半导体衬底处理系统。更具体的,本发明涉及在半导体衬底处理系统中控制衬底温度的方法和装置。
背景技术
在集成电路的制造中,为了在衬底内取得一致结果,和可以复制从衬底到衬底的结果,需要多个工艺参数的精确控制。在处理期间,整个衬底上的温度改变和温度梯度对材料沉积、蚀刻速率、台阶覆盖、特征圆锥角(feature taper angles),和半导体器件的其他参数是不利的。同样的,为了获得高产量,整个衬底上的预先确定的温度分布图案的产生是一个重要条件。
在一些处理应用中,在处理期间衬底通过静电卡盘固定在衬底底座上。静电卡盘通过夹具、粘接剂或紧固件耦合在底座的基座上。卡盘可以具有嵌入的电加热器,在处理期间也流体地耦合到用于控制衬底温度的背部加热传送气体源上。然而,传统的衬底底座没有足够的手段横跨衬底的直径控制衬底温度分布。不能均匀地控制衬底温度对单个衬底和衬底之间的工艺均匀性、器件产量和处理衬底的整体质量有不利影响。
因此,在现有技术中,需要在半导体衬底处理装置中在处理衬底期间来控制衬底温度的改进方法和设备。
发明内容
本发明主要涉及一种在半导体衬底处理装置中在处理衬底期间控制衬底温度的方法和设备。该方法和设备提高了横跨衬底直径的温度控制,并可以在蚀刻、沉积、注入和热处理系统以及需要控制工件温度分布曲线的其它应用中使用。
在本发明的一个实施例中,提供包括耦合到静电卡盘底表面的金属基座的衬底底座组件。孔隙延伸穿过所述基座。流体导管设置在所述静电卡盘和所述基座的至少之一中,其中所述流体导管包括围绕所述孔隙的区段。
在本发明的一个实施例中,提供包括静电卡盘和金属基座的衬底底座组件,所述静电卡盘具有至少一个设置在衬底支撑表面和底表面之间的卡盘电极,所述金属基座具有耦合到所述静电卡盘的所述底表面的顶表面,并且所述静电卡盘或者所述基座的至少之一具有限定在其中的孔洞。流体导管设置在所述基座中并且基本平行于所述顶表面布置。所述流体导管具有围绕所述基座的中心定向的大曲率和围绕所述孔洞定向的小曲率。
在本发明的另一个实施例中,提供包括耦合到金属基座的静电卡盘的衬底底座组件。静电卡盘包括至少一个卡盘电极,金属基座包括至少两个设置在其中的流体隔离的导管环。
在另一个实施例中,底座组件包括通过材料层耦合到基座的支撑构件。材料层具有至少两个具有不同导热性系数的区域。在另一实施例中,衬底底座组件包括静电卡盘。在另一个实施例中,底座组件具有形成在基座和支撑构件之间的沟道,该沟道用于在材料层附近提供冷却气体以进一步控制在支撑构件和基座之间的传热,由此控制设置在支撑构件上的衬底的温度分布曲线。
底座组件包括使用材料层耦合到基座的支撑构件。材料层具有至少两个具有不同导热性系数的区域。在另一实施例中,支撑构件是静电卡盘。在另一实施例中,底座组件具有形成在基座和支撑构件之间的沟道,该沟道用于在材料层附近提供冷却气体以进一步控制在支撑构件和基座之间的传热,由此有利于控制设置在支撑构件上的衬底的温度分布曲线。
附图说明
为了能够详细的理解本发明的上述特点,参考实施例对上面简要概述的本发明进行更详细地描述,一些会在附图中说明。然而应当注意,附图说明地仅仅是本发明的典型实施例,因此并不认为局限于这个范围,本发明也可以允许其它等同效果的实施例。
图1A是根据本发明一个实施例包括衬底底座的示例半导体衬底处理装置的示意图;
图1B-1C是具有在衬底底座材料层中不同位置形成的间隙的衬底底座实施例的部分截面图;
图2是沿图1A的线2-2的衬底底座的截面示意图;
图3是本发明另一实施例的部分截面示意图;
图4是本发明另一实施例的部分截面示意图;以及
图5是本发明还一实施例的部分截面示意图;
图6是控制设置在衬底底座上的衬底的温度的方法的实施例的流程图;
图7是底座组件的基座的另一实施例的纵断面;
图8是图7基座的底视图;
图9是图7基座的部分截面图;
图10A-H是说明为形成在其中的导管设置路径的不同构造的底视图;
图11是底座组件的基座的另一实施例的底视图;
图12是图11基座的部分截面图。
为了便于理解,尽可能地使用相同的数字表示图中共有的相同元件。还可以想到一个实施例中的元件和特征不需要进一步陈述就可以与其它实施例有利地结合。
具体实施方式
本发明主要是在处理期间控制衬底温度的方法和装置。虽然发明已经在半导体衬底处理装置中说明性地描述过了,所述半导体衬底处理装置例如为CENTURA
Figure 10003_0
集成半导体晶片处理系统的处理反应器(或模块),可从Santa Clara,California的应用材料公司获得,但是本发明也可以在其它处理系统中使用,包括蚀刻、沉积、注入和热处理,或用于需要控制衬底或者其他工件温度分布曲线的其它应用。
图1描述了具有衬底底座组件116的一个实施例的示例性蚀刻反应器100的示意图,该组件116可以说明性地用于实现本发明。这里示出地蚀刻反应100的具体实施例仅用于说明目的,并不用作限制本发明的范围。
蚀刻反应器100通常包括处理室110,气体控制板138和控制器140。处理室110包括密封处理容积的导电体(壁)130和顶120。处理气体从气体控制板138供应给处理室110的处理容积中。
控制器140包括中央处理单元(CPU)144,存储器142和支撑电路146。控制器140耦合到并控制蚀刻反应器100的构件,在室110中进行的处理,并且可以方便地进行与集成电路制造数据库的可选数据交换。
在描述的实施例中,顶120是基本平坦的介电构件。处理室110的另一实施例可以具有其它类型的顶,如圆顶形顶。在顶120上方设置包括一个或多个感应线圈元件(如说明性地示出的两个同轴线圈元件112A和112B)的天线112。天线112通过第一匹配网络170耦合到射频(RF)等离子体电源118。
在一个实施例中,衬底底座组件116包括支撑构件126,导热层134、基座114、领圈152、接合环154、衬垫178、接地套管164和安装组件162。安装组件162耦合基座114到处理室110。基座114通常由铝或其它金属材料形成。在描述的实施例中,基座114还包括至少一个可选的嵌入加热器158(说明性地示出一个加热器158),至少一个可选的嵌入插入件168(说明性地示出一个环形插入件168),和多个可选的流体耦合到加热或冷却液体源182的导管160。在这个实施例中,使用可选的衬垫178进一步把基座114和接地套管164热分隔。
可以利用导管160和加热器158控制基座114的温度,由此加热或冷却支撑构件126,由此在处理期间部分地控制设置在支撑构件126上的衬底150的温度。
插入件168由具有不同于基座114邻接区域的材料的导热系数的材料形成。典型地,插入件168具有比基座114更小的导热系数。在另一实施例中,插入件168可以由各向异性(即方向依赖性导热系数)的材料形成。插入件168用作相对于通过在传热路径上不具有插入件168的基座114的邻近部分的导热速率,局部地改变在支撑构件126通过基座114到导管160之间的导热速率。因此,通过控制插入件的数量、形状、尺寸、位置和导热系数,可以控制支撑构件126、放置在其上的衬底150的温度分布曲线。虽然图1中描述的插入件168是圆环形环,但是插入件168的形状也可以采用许多形式。
导热层134设置在基座114的卡盘支撑表面180上,并促进支撑构件126和基座114之间的热耦合(即热交换)。在实施例的一个例子中,导热层134是机械地将支撑构件126结合到构件支撑表面180的粘接层。可选择地(未示出),衬底底座组件116可以包括适于将支撑构件126紧固到基座114的硬件(例如夹具、螺钉等)。使用耦合到温度监控器174的多个传感器(未示出)如热电偶等监控支撑构件126和基座114的温度。
支撑构件126设置在基座114上,并被环152、154包围。支撑构件126可以由铝、陶瓷或适于在处理期间支撑衬底150的其它材料制造。在一个实施例中,支撑构件126是陶瓷。衬底150可以通过重力置放在支撑构件126上,或可选地通过真空、静电力、机械夹具等固定到支撑构件126。图1中描述的实施例中,支撑构件126示是一静电卡盘188。
静电卡盘188通常由陶瓷或类似的介电材料形成,并包括至少一个使用电源128控制的卡盘电极186。在另一实施例中,静电卡盘188可以包括至少一个通过第二匹配网络124耦合到衬底偏压电源122的射频电极(未示出),并也可以包括使用电源132控制的一个嵌入加热器184。
静电卡盘188可以还包括多个气体通道(未示出),如形成在卡盘的衬底支撑表面176上并流体耦合到传热(或者后部)气体源148的沟道。在运行中,以控制的压力将后部气体(如氦(He))供应到气体通道中以增强在静电卡盘188和衬底150之间的传热。按照惯例,静电卡盘的至少衬底支撑表面176上提供有抵抗在处理衬底期间所使用的化学品和温度的涂层。
在一个实施例中,支撑构件126包括至少一个嵌入插入件166(说明性地示出了环形插入件166),该插入件由至少一种具有不同于支撑构件126邻接区域的材料的导热系数的材料形成。典型地,插入件166由比邻接区域的材料的导热系数小的材料形成。在另一实施例中,插入件166可以由具有各向异性导热系数的材料形成。在可选实施例中(未示出),至少一个插入件166可以与衬底支撑表面176共面地设置。
如同基座114的插入件168,可以选择性地选择支撑构件126中的插入件166的导热率,和形状、尺寸、位置和数量,以控制经过底座组件116的传热,从而在操作中得到预先确定的支撑构件126的衬底支撑表面176的温度分布图案,以及同样地横跨衬底150直径的温度分布图案。
导热层134包括多个材料区(说明性地示出了两个环形区102、104和圆形区106),至少其中的两个具有不同的导热系数。每个区102、104、108可以由至少一种具有不同于导热层134中的邻接区域的材料的导热系数的材料形成。在另一实施例中,一种或者多种组成区域102、104、106的材料可以具有各向异性导热系数。例如,在垂直或平行于构件支撑表面180方向的层134中材料的导热系数可以不同于在至少一个其它方向上的系数。可以选择在层134的区102、104、106之间的导热系数以提高在卡盘126和基座114之间横向的导热速率差别,由此横跨衬底150直径控制温度分布。
在另一个实施例中,可以在导热层134的至少两个邻接区之间提供间隙190(如图2A中所示)。在导热层134中,这样的间隙190可以形成具有预先确定形状因子的充气或真空容积。间隙190可以可选择性地在层134的区域中形成(如图1C中示出)。
图2描述了沿图1A中的线2-2的衬底底座的示意性截面图。在所述实施例中,导热层134示例性地包括环形区域102、104和圆形区域106。在可选实施例中,层134可以包括多于或少于三个区域,并且区域可以具有不同形状因子,例如,区域可以设置成栅格、径向取向状、和环形阵列状以及其他。导热层134的这些区域可以由以进一步发展成硬粘接化合物的糊状形式的材料,以及以粘接带或粘接箔的形式的材料构成。在导热层134中的材料的导热率可以从0.01至200W/mK的范围内选择,在一个示例实施例中,在0.1至10W/mK的范围内。在另一实施例中,邻接区域具有约0.1至10W/mK的导热率差,并在层134的最里面和最外面区域的导热率具有约0.1至10W/mK的差别。合适的粘接材料的例子包括,但不局限于,包括丙烯酸基和硅基化合物的糊和带。粘接材料可以额外地包括至少一种导热陶瓷填料,例如氧化铝(Al2O3)、氮化铝(AlN)和二硼化钛(TiB2)等。适用于导电层134的粘接带的一个例子是商标名为THERMATTACH,可以从Chomerics,Parker Hannifin公司位于Massachusetts的Wolbum的分部得到。
在导热层134中,可以选择性地选择导热率,以及形状因子、尺寸和具有预定导热系数的区域的数量以控制在静电卡盘126和基座114之间的传热,从而在操作中得到卡盘的衬底支撑表面176上的温度分布的预定图案,同样地在衬底150中也可以得到。为了进一步控制经过在基座114和支撑构件126之间的传导层134的传热,提供一个或多个沟道108以流动传热介质。沟道108通过基座114与如冷却气体的传热介质源150耦合。合适的冷却气体的一些例子包括氦气和氮气还有其它。由于设置在沟道108中的冷却气体是在卡盘126和基座114之间的传热路径的一部分,沟道108的位置、和所提供的冷却气体的传热介质的压力、流速、温度、密度和成分,提供了对经过底座组件116的传热曲线的增强控制。并且,由于在衬底150处理期间,在沟道108内的气体的密度和流速可以原位控制,所以在处理期间可以改变衬底150的温度控制以进一步增强处理性能。虽然示出了冷却气体的单个源156,但是可以预期一个或多个冷却气体源可以以该方式耦合到沟道108,即在各个沟道108中的冷却气体的种类、压力和/或流速可以是单独的控制器,由此促进更高水平的温度控制。
在图1A中描述的实施例中,沟道108被描述成形成在构件支撑表面180内。然而,可以预期沟道108可以至少部分地形成在构件支撑表面180中,至少部分地形成在支撑构件126的底表面中,或至少部分地形成在导热层134中,以及这些形式的结合。在一个实施例中,约2到10个之间的沟道108被设置在底座组件116中,并具有对在约760Torr(大气压力)至10Torr之间保持的压力的可选择性。例如,至少一个沟道108可以部分或完全地形成在静电卡盘126中,如图3-4中所示。更具体地,图3描述了衬底底座组件116一部分的示意图,其中沟道108完全形成在静电卡盘126中。图4描述了衬底底座组件116一部分的示意图,其中沟道108部分形成在基座114和部分地形成在静电卡盘126中。图5描述了衬底底座组件116一部分的示意图,其中沟道108形成在导热层134中。虽然在图5中示出的沟道设置在导热层134的不同区域102、104和106之间,但是一个或多个沟道可以形成为经过区域102、104和106中的一个或多个。
返回图1A,可以选择性地选择插入件166、168的导热率和设置在沟道108中的气体,以及沟道108和插入件166、168的位置、形状、尺寸和数量中的至少一个,以控制在支撑构件126到基座114之间的传热,从而在运行中得到预先确定的支撑构件126的衬底支撑表面176的温度分布图案,以及同样地横跨衬底150直径的温度分布图案。在另一实施例中,可以选择性地选择在至少一个沟道108中的冷却气体压力,和在至少一个导管156中的冷却液体流速,以得到和/或增强衬底的温度控制。传热率也可以通过单独控制在各自沟道108之间的气体类型、压力和/或流速而控制。
在另一实施例中,在衬底150中的温度分布的预定图案可以使用所述的控制手段的单个或组合而得到,所述控制手段例如为导热层134、插入件166、168,沟道108,导管160,在沟道108中冷却气体的压力、在导管160中的冷却液体流速。此外,在上述讨论的实施例中,在衬底支撑表面176上和在衬底150中的预定的温度分布图案可以额外地被选择性地控制以补偿在处理衬底150期间由处理气体的等离子体和/或衬底偏压产生的不均匀热通量。
图6描述了作为工艺600的控制在半导体衬底处理装置中处理的衬底的温度的发明方法的一个实施例的流程图。工艺600说明性地包括在上述实施例中描述的反应器100中进行处理期间,在衬底150上进行的处理步骤。可以预期工艺600以在其他处理系统中进行。
工艺600从步骤601开始并进入步骤602。在步骤602中,衬底150被运送到设置在处理室110中的底座组件116。在步骤604,衬底150被定位到(例如使用没有示出的衬底机械手)静电卡盘188的衬底支撑表面176上。在步骤606中,电源132为静电卡盘188供电以夹紧衬底150到卡盘188的支撑表面176。在步骤608中,根据按控制器140指示所执行的处理方法在处理室110中处理(例如蚀刻)衬底150。在步骤608期间,衬底底座组件116使用参考上述图1-5讨论的底座组件116的温度控制属性中的一个或多个,在衬底150中形成预定温度分布图案。可选择的,通过改变位于一个或多个沟道108中的气体的特性中的一个或多个,可以原位调节在步骤608期间经过卡盘114的传热速率和/或分布曲线。一旦完成处理,在步骤610,电源132脱离静电卡盘188,同样地,解开衬底150,所述衬底150被进一步从处理室110中移出。在步骤612,工艺600结束。
图7-9是基座700的一个实施例的垂直截面图、底视图和部分截面图。应当想到基座700可以与这里所述的衬底底座组件的任意一个一同使用。在图7-9中所描述实施例中,基座700包括顶表面702和底表面704。沟道706形成在基座700的底表面704中。沟道706由帽708覆盖以形成流体导管710。导管710包括设置的入口714和出口716以接纳到传热液体控制源182的适当的、便利的附接件,如图1中所示。
在图7-9描述的实施例中,沟道706被加工到基座700的底表面704中。加工操作以使得一个或多个鳍712延伸到由沟道706限定的区域中的方式进行。鳍712增加了可用于传热的导管710表面积,由此增强了在导管710和基座700中流动的流体之间的传热。
帽708设置在沟道706中并耦合到基座700以限定导管710。在图7-9描述的实施例中,帽708连续地焊接到基座700以防止在真空条件下导管710中流动的流体的泄漏。可以想到帽708可以使用其他的防漏方法密封地耦合到基座700。
图10A-H是说明为形成在其中的导管设置路径的不同构造的底视图。如图所示,可以为导管710设置路径,以提供支撑组件的预定温度分布曲线,由此控制其上支撑的衬底的温度分布曲线。
图11-12描述了可以在这里描述的衬底底座组件中使用的基座1100的另一实施例的底视图和部分截面图。在图11-12中描述的基座1100通常包括形成在基座1100中以限定至少两个单独的可控温度区域1106、1108的至少两个分开的冷却环1102、1104。冷却环1102、1104通常是如上所述、或其他合适方法形成的导管。在一实施例中,第一冷却环1102被设置在第二冷却环1104径向外侧,以使得温度控制区1106、1108同心。可以理解冷却环1102、1104可以径向地取向,或具有其他几何形状。冷却环1102、1104可以耦合到温度控制传热流体的单个源,或如图11中描述的实施例,每个冷却环1102、1104可以分别地耦合到分开的传热流体源1112、1114,以使得可以独立地控制在区域1106、1108中的温度。可选择地,插入件1110,与上述的插入件168类似,横向地设置在第一和第二冷却环插入件168之间以在区1106和1108之间提供增强的热隔离。插入件1110可以延伸到基座1100的下表面,如图11中所示,或者嵌入到基座1100中,如图12中所示。
因此,提供了能够灵活控制支撑在其上的衬底温度的衬底支撑底座组件。可以选择衬底支撑底座组件的不同特征,以提供多区域的温度控制,由此能够控制衬底的温度分布曲线。
虽然上面涉及了本发明的实施例,但是在不脱离基本范围的情况下,可以得到本发明其他和进一步的实施例,所述范围由下述的权利要求确定。

Claims (10)

1.一种衬底底座组件,包括:
静电卡盘,具有至少一个设置在其中的卡盘电极;
金属基座,耦合到所述静电卡盘的底表面;
设置在所述静电卡盘和所述基座之间的材料层,其中,所述材料层包含多个材料区域,其中至少两个材料区域具有不同的导热系数,其中所述多个材料区域的每一个区由至少一种导热系数不同于所述材料层中的邻接区域的材料的导热系数的材料形成,其中所述邻接区域具有0.1至10W/mK的导热率差,并在所述材料层的最里面和最外面区域的导热率具有0.1至10W/mK的差别;以及
设置在所述基座中的第一冷却环和第二冷却环,其中,所述第一冷却环布置在所述第二冷却环的径向外侧。
2.如权利要求1所述的衬底底座组件,还包括:
至少一个背面气体沟道,形成在所述静电卡盘的衬底支撑表面中。
3.如权利要求1所述的衬底底座组件,其中所述基座通过所述材料层被接合到所述卡盘。
4.如权利要求1所述的衬底底座组件,还包括:
插入件,其嵌入所述基座中,并且其导热系数小于所述基座的邻接区域的导热系数。
5.一种衬底底座组件,包括:
静电卡盘,具有至少一个设置在衬底支撑表面和底表面之间的卡盘电极;
金属基座,具有耦合到所述静电卡盘的所述底表面的顶表面;
设置在所述静电卡盘和所述基座之间的材料层,其中,所述材料层包含多个材料区域,其中至少两个材料区域具有不同的导热系数,其中所述多个材料区域的每一个区由至少一种导热系数不同于所述材料层中的邻接区域的材料的导热系数的材料形成,其中所述邻接区域具有0.1至10W/mK的导热率差,并在所述材料层的最里面和最外面区域的导热率具 有0.1至10W/mK的差别;以及
流体导管,所述流体导管设置在所述基座中并且基本平行于所述顶表面布置。
6.如权利要求5所述的衬底底座组件,其中,所述多个材料区域中的至少之一具有各向异性导热系数。
7.如权利要求6所述的衬底底座组件,还包括:
至少一个背面气体沟道,形成在所述静电卡盘的衬底支撑表面中。
8.如权利要求5所述的衬底底座组件,其中,所述材料层包括丙烯酸基和硅基化合物。
9.一种衬底底座组件,包括:
静电卡盘,具有至少一个设置在其中的卡盘电极;
金属基座,耦合到所述静电卡盘的底表面;
设置在所述静电卡盘和所述基座之间的材料层,其中,所述材料层包含多个材料区域,其中至少两个材料区域具有不同的导热系数,其中所述多个材料区域的每一个区由至少一种导热系数不同于所述材料层中的邻接区域的材料的导热系数的材料形成,其中所述邻接区域具有0.1至10W/mK的导热率差,并在所述材料层的最里面和最外面区域的导热率具有0.1至10W/mK的差别;
流体导管,其设置在所述基座中;以及
插入件,其嵌入所述基座中,并且其导热系数小于所述基座的邻接区域的导热系数。
10.如权利要求9所述的衬底底座组件,还包括:
第二流体导管,其形成在所述基座中所述流体导管的径向内侧。 
CN2006101505390A 2004-10-07 2005-10-08 控制衬底温度的装置 Expired - Fee Related CN1945807B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/960,874 2004-10-07
US10/960,874 US7544251B2 (en) 2004-10-07 2004-10-07 Method and apparatus for controlling temperature of a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2005101165360A Division CN1779938A (zh) 2004-10-07 2005-10-08 控制衬底温度的方法和装置

Publications (2)

Publication Number Publication Date
CN1945807A CN1945807A (zh) 2007-04-11
CN1945807B true CN1945807B (zh) 2012-11-28

Family

ID=36144104

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2005101165360A Pending CN1779938A (zh) 2004-10-07 2005-10-08 控制衬底温度的方法和装置
CN2006101505390A Expired - Fee Related CN1945807B (zh) 2004-10-07 2005-10-08 控制衬底温度的装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2005101165360A Pending CN1779938A (zh) 2004-10-07 2005-10-08 控制衬底温度的方法和装置

Country Status (5)

Country Link
US (3) US7544251B2 (zh)
JP (2) JP4481913B2 (zh)
KR (2) KR100815539B1 (zh)
CN (2) CN1779938A (zh)
TW (2) TWM314913U (zh)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8608900B2 (en) 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
JP4732978B2 (ja) * 2006-08-02 2011-07-27 東京ガスケミカル株式会社 サーモチャック装置およびサーモチャック装置の製造方法
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080038448A1 (en) * 2006-08-11 2008-02-14 Lam Research Corp. Chemical resistant semiconductor processing chamber bodies
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US7777160B2 (en) * 2007-12-17 2010-08-17 Momentive Performance Materials Inc. Electrode tuning method and apparatus for a layered heater structure
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR100960391B1 (ko) * 2007-12-26 2010-05-28 포항공과대학교 산학협력단 나노 디바이스의 제조 방법 및 그 제조 장치
KR20090071060A (ko) * 2007-12-27 2009-07-01 주성엔지니어링(주) 정전척 및 그를 포함하는 기판처리장치
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR100916186B1 (ko) * 2008-05-14 2009-09-08 주식회사 템네스트 온도균일화 수단이 내장되어 있는 정전척
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
NL2002907A1 (nl) 2008-06-10 2009-12-11 Asml Netherlands Bv Method and system for thermally conditioning an optical element.
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
EP2562290A3 (en) * 2008-08-29 2016-10-19 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
JP2010062195A (ja) * 2008-09-01 2010-03-18 Hitachi High-Technologies Corp プラズマ処理装置及び試料載置電極
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
WO2010150590A1 (ja) * 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
CN102576548B (zh) * 2009-11-03 2017-03-15 应用材料公司 针对图案化磁盘媒介应用的等离子体离子注入工艺期间的基板温度控制
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US9330952B2 (en) * 2009-12-30 2016-05-03 Solexel, Inc. Bipolar mobile electrostatic carriers for wafer processing
KR101108337B1 (ko) * 2009-12-31 2012-01-25 주식회사 디엠에스 2단의 냉매 유로를 포함하는 정전척의 온도제어장치
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR101636764B1 (ko) * 2010-05-31 2016-07-06 주식회사 미코 정전척 및 이를 포함하는 기판 처리 장치
JP5618638B2 (ja) * 2010-06-07 2014-11-05 株式会社日立ハイテクノロジーズ プラズマ処理装置または試料載置台
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8822876B2 (en) * 2010-10-15 2014-09-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5982758B2 (ja) * 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
JP5882614B2 (ja) * 2011-06-29 2016-03-09 株式会社日本セラテック セラミックスヒータ
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
US9123755B2 (en) * 2011-08-30 2015-09-01 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
KR102172164B1 (ko) * 2012-09-19 2020-10-30 어플라이드 머티어리얼스, 인코포레이티드 기판들을 접합하기 위한 방법들
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5992388B2 (ja) * 2012-12-03 2016-09-14 日本碍子株式会社 セラミックヒーター
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
CH707480B1 (de) * 2013-01-21 2016-08-31 Besi Switzerland Ag Bondkopf mit einem heiz- und kühlbaren Saugorgan.
US20140209242A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Substrate processing chamber components incorporating anisotropic materials
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9668373B2 (en) 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
JP6196095B2 (ja) * 2013-08-07 2017-09-13 日本特殊陶業株式会社 静電チャック
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
KR20160062065A (ko) * 2013-09-20 2016-06-01 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
CN103762145B (zh) * 2013-12-23 2016-03-09 中国电子科技集团公司第四十八研究所 旋转盘高温靶室系统
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
WO2015171207A1 (en) 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9786539B2 (en) * 2014-07-16 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd Wafer chuck
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6278277B2 (ja) * 2015-01-09 2018-02-14 住友大阪セメント株式会社 静電チャック装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10154542B2 (en) * 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10499461B2 (en) * 2015-12-21 2019-12-03 Intel Corporation Thermal head with a thermal barrier for integrated circuit die processing
JP6633931B2 (ja) * 2016-02-10 2020-01-22 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP6639940B2 (ja) * 2016-02-17 2020-02-05 日本特殊陶業株式会社 保持装置および保持装置の製造方法
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
CN107710399B (zh) * 2016-05-09 2021-04-16 株式会社爱发科 静电吸盘及等离子处理装置
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
WO2017195893A1 (ja) * 2016-05-13 2017-11-16 Toto株式会社 静電チャック
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106091470A (zh) * 2016-06-21 2016-11-09 上海工程技术大学 一种制冷设备及其制冷方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11043401B2 (en) * 2017-04-19 2021-06-22 Ngk Spark Plug Co., Ltd. Ceramic member
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP6924618B2 (ja) * 2017-05-30 2021-08-25 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN110785837A (zh) * 2017-06-23 2020-02-11 沃特洛电气制造公司 高温热板基座
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN109213086B (zh) * 2017-06-29 2020-10-23 台湾积体电路制造股份有限公司 制程系统与制程方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN212874436U (zh) 2017-11-21 2021-04-02 沃特洛电气制造公司 一种陶瓷基座组件
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN109962030B (zh) * 2017-12-22 2022-03-29 中微半导体设备(上海)股份有限公司 一种静电吸盘
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
CN110289241B (zh) * 2019-07-04 2022-03-22 北京北方华创微电子装备有限公司 静电卡盘及其制作方法、工艺腔室和半导体处理设备
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP7316179B2 (ja) * 2019-10-04 2023-07-27 東京エレクトロン株式会社 基板支持台、及びプラズマ処理装置
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
KR102372810B1 (ko) * 2020-03-27 2022-03-11 주식회사 케이에스티이 정전척
KR102615216B1 (ko) * 2020-05-15 2023-12-15 세메스 주식회사 정전 척, 기판 처리 장치 및 기판 처리 방법
US11699602B2 (en) 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
CN112144033B (zh) * 2020-09-09 2022-12-09 北京北方华创微电子装备有限公司 基座组件及半导体加工设备
CN114388323A (zh) * 2020-10-20 2022-04-22 中微半导体设备(上海)股份有限公司 一种静电夹盘及其等离子体处理装置
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备
CN117127154A (zh) * 2023-10-16 2023-11-28 粤芯半导体技术股份有限公司 一种半导体器件中的互连金属的沉积方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US6052271A (en) * 1994-01-13 2000-04-18 Rohm Co., Ltd. Ferroelectric capacitor including an iridium oxide layer in the lower electrode
US5673647A (en) 1994-10-31 1997-10-07 Micro Chemical, Inc. Cattle management method and system
JP3537544B2 (ja) 1995-06-22 2004-06-14 大日本スクリーン製造株式会社 グラビア彫刻システム
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
US5708556A (en) 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
JPH09256153A (ja) 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6482747B1 (en) 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6256187B1 (en) 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3723398B2 (ja) 2000-01-28 2005-12-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4753460B2 (ja) 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002270680A (ja) * 2001-02-28 2002-09-20 Applied Materials Inc 基板支持方法及び基板支持装置
JP4003540B2 (ja) 2001-05-30 2007-11-07 ヤマハ株式会社 基板処理方法と装置
KR20030000768A (ko) * 2001-06-27 2003-01-06 삼성전자 주식회사 새도우 링이 부착된 정전척
US7199328B2 (en) 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US20030089457A1 (en) 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3639268B2 (ja) 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
JP4218822B2 (ja) 2002-07-19 2009-02-04 東京エレクトロン株式会社 真空断熱層を有する載置機構
CN2585414Y (zh) 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
WO2004112123A1 (ja) 2003-06-17 2004-12-23 Creative Technology Corporation 双極型静電チャック
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
GB0320469D0 (en) * 2003-09-01 2003-10-01 Nokia Corp A method of controlling connection admission
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
DE602005023977D1 (de) * 2005-07-29 2010-11-18 Eta Sa Mft Horlogere Suisse Elektronische Taucheruhr mit einer analogen redundanten Anzeige der momentanen Tiefe
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching

Also Published As

Publication number Publication date
JP2006140455A (ja) 2006-06-01
US20060076109A1 (en) 2006-04-13
TW200616139A (en) 2006-05-16
JP4481913B2 (ja) 2010-06-16
KR100815539B1 (ko) 2008-03-20
KR20060121773A (ko) 2006-11-29
US7544251B2 (en) 2009-06-09
US8075729B2 (en) 2011-12-13
CN1945807A (zh) 2007-04-11
JP3129419U (ja) 2007-02-22
CN1779938A (zh) 2006-05-31
KR101045730B1 (ko) 2011-06-30
TWM314913U (en) 2007-07-01
TWI323018B (en) 2010-04-01
KR20060052119A (ko) 2006-05-19
US20070102118A1 (en) 2007-05-10
US20060076108A1 (en) 2006-04-13

Similar Documents

Publication Publication Date Title
CN1945807B (zh) 控制衬底温度的装置
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
US9681497B2 (en) Multi zone heating and cooling ESC for plasma process chamber
US7718932B2 (en) Electrostatic chuck having radial temperature control capability
KR101582785B1 (ko) 정전 척 조립체
US8092602B2 (en) Thermally zoned substrate holder assembly
US6656286B2 (en) Pedestal with a thermally controlled platen
US20040261721A1 (en) Substrate support having dynamic temperature control
EP1075015A2 (en) A method and apparatus for thermal control of a semiconductor substrate
US20130276980A1 (en) Esc with cooling base
US9248509B2 (en) Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
JP2000515304A (ja) 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法
TW201448109A (zh) 具有多個獨立邊緣區域的多區域加熱之靜電吸座
JP2003243492A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
US20030121898A1 (en) Heated vacuum support apparatus
US6508062B2 (en) Thermal exchanger for a wafer chuck
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121128

Termination date: 20151008

EXPY Termination of patent right or utility model