JP2000515304A - 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法 - Google Patents

誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法

Info

Publication number
JP2000515304A
JP2000515304A JP10505368A JP50536898A JP2000515304A JP 2000515304 A JP2000515304 A JP 2000515304A JP 10505368 A JP10505368 A JP 10505368A JP 50536898 A JP50536898 A JP 50536898A JP 2000515304 A JP2000515304 A JP 2000515304A
Authority
JP
Japan
Prior art keywords
inductively coupled
plasma
antenna structure
high frequency
frequency power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10505368A
Other languages
English (en)
Inventor
モスレヒ,メフルダド,エム.
Original Assignee
シーブイシー プロダクツ、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シーブイシー プロダクツ、インコーポレイテッド filed Critical シーブイシー プロダクツ、インコーポレイテッド
Publication of JP2000515304A publication Critical patent/JP2000515304A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

(57)【要約】 誘導結合された、高密度のマルチゾーンプラズマソースは、プロセスガスからプラズマを発生するための、個々に制御された第1のRFアンテナを含み、このICPソースにはプロセスガスからプラズマを発生するための、別々に制御された第2のコイルセグメントが含まれる。種々の実施例では、個々に制御されるコイルセグメントの3つ以上の組を使用できる。一実施例では各コイルセグメントに対し別個の電源を別々に使用できる。本発明の別の特徴は、プロセス汚染の可能性を解消し、ソースのハードウェアの信頼性および機能を改善し、プラズマシステムの真空完全性および最終のベース圧力を改善する、ハーメチックシールされた誘導結合されたプラズマソース構造体およびその製造方法にある。

Description

【発明の詳細な説明】 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法 発明の技術的分野 本発明は、一般的にはプラズマ処理方法およびシステムに関し、より詳細には 半導体、データ記憶装置、フラットパネルディスプレイ、光起電デバイスおよび マルチチップモジュールデバイスを製造するのに使用されるプラズマで補助され た製造プロセスのための誘導結合された高密度のプラズマを発生する装置および 方法に関する。 発明の背景 種々のデバイス製造用途、例えば半導体集積回路、データ記憶デバイス(ヘッ ドおよび媒体)およびフラットパネルディスプレイ製造における多くの製造工程 のためにプラズマプロセスが使用されている。一般に(プロセスエンハンストプ ロセスまたはプラズマで補助されたプロセスとしても知られる)プラズマプロセ スは、物理的蒸着方法(PVD)、プラズマ増速化学的気相堆積方法(PECV D)、ドライエッチング、ウェーハクリーニング(すなわち表面調製)、現場チ ャンバクリーニングおよび(プラズマドーピングとしても知られる)プラズマ浸 漬イオン注入用途に使用される。従来のプラズマ発生方法はいくつかの技術のう ちの1つまたはそれらの組み合わせを利用している。種々のプラズマ発生技術と してはパラレルプレート容量性放電、(電子サイクロトロン共鳴、すなわちEC Rプラズマを含む)マイクロウェーブ放電、中空カソード放電および誘導結合プ ラズマ(ICP)ソース等が挙げられる。 誘導結合された高密度プラズマソース、すなわち高密度ICPソースはプロセ ス性能、処理能力レートおよび制御能力が優れているので、最近多くの注目を集 めている。ICPソースは極めて簡単な誘導高周波(RF)励起を使用して、高 密度(1×1011cm-3〜5×1012cm-3以上の範囲のnP値)を発生できる 。高度のICPソース構造は1×1013cm-3よりも大きい(プラズマ電子密度 、すなわちnPに対応する)、極めて高いプラズマ密度を発生できる。RFソー スの周 波数は一般に1〜30MHz(好ましくは13.56MHz)の範囲内にある。 この範囲の下限におけるRF周波数の結果、ICPアンテナの周りの誘導RF電 圧は低下する。これにより誘導結合が生じる危険性だけでなくICPアンテナの 近くの内側プロセスチャンバおよびICPソース壁のスパッタリングが発生する 危険性が低下する。しかしながら、ICPソースの周波数が低下する結果、プラ ズマ密度が小さくなり、RFマッチング用ネットワーク部品が大きくなる。他方 、RF周波数がより高くなると、優れたプラズマ密度が得られ、よりコンパクト なRFマッチング用ネットワーク部品を使って、このより高いRF周波数をプラ ズマ負荷に有効に結合できる。しかしながらアンテナの周りで生じる比較的高い 誘導RF電圧によるチャンバ壁のスパッタリングが生じないように保証するため に注意を払わなければならない。ICPソースアンテナの周りの誘導RF電圧が より高くなると、誘導結合が発生し、プラズマ電位が高まる危険性が高くなる。 従来の平行プレートプラズマに対するICPの利点として、プラズマ密度と( プロセス内の基板に到達するイオンフラックスに対する)イオンエネルギーとを 別々に制御できることが挙げられる。プラズマ密度はICPソースアンテナへ送 られる印加RF電流または電力によって主に制御されるが、他方、平均イオンエ ネルギーの制御は基板、すなわちウェーハにかけられるRFバイアスによって行 われる。基板としては半導体ウェーハ(例えばシリコン)、データ記憶基板(A lSiMagまたはAlTiC)、光起電基板(例えばポリシリコンまたはシリ コン)またはフラットパネルディスプレイ基板(例えばガラス)が挙げられる。 従来技術では種々のタイプのICPソース構造が提案されている。これら構造 として螺旋コイルアンテナ構造、ヘリコン壁ソース構造および円筒コイルアンテ ナソース構造が挙げられる。しかしながら従来技術のICP構造のいずれも、リ アルタイムでプラズマの均一形状を制御、すなわち調節できないようにする、共 通する限界を有している。従来技術のICPソースは、主に単一ゾーン構造に基 づいており、単一RFプラズマ励起ソースを備えた単一コイルアンテナソースを 利用している。基本的な従来技術の構造のほとんどは大容積のプラズマを発生す るのに石英チャンバ(例えば石英ベルジャー)の周りに円筒形または円錐形コイ ルを使用するか、またはいわゆる平面状プラズマを発生するのに(真空チャンバ の外の)誘電プレートの上で平面状螺旋コイルのいずれかを使用している。螺旋 コイルICP構造は平らな螺旋コイルを使用することが多いが、プラズマの均一 性を改善するためにICPアンテナの誘電ハウジングおよび/またはアンテナコ イル自体の表面の位相空間の形状を定める種々の選択肢を提供できる。 螺旋コイル構造は所定の技術的利点を有するが、深刻な限界も有している。螺 旋コイル構造は大気側またはエポキシ封入を使って真空チャンバ内にて真空誘電 プレートの上にアンテナを設置できる。螺旋コイルループと直列に数個のコンデ ンサを設置することにより、螺旋コイルの周りにRF電圧を誘導する能力を提供 できる。アンテナコイルは通常、水冷のアルミニウムまたは銅製のチューブから 製造されるので、このような製造は簡単な作業ではない。直列コンデンサを挿入 すると、インラインの金属−セラミックインサートが挿入され、チューブ内の水 の流れが遮断されることがある。不幸なことにこの結果、構造上の複雑性が増し 、機器のコストが高まることとなる。電気絶縁プラズマソースまたはプロセスチ ューブ周りの円筒形コイルを備えたICPソースでは、電気絶縁プロセスチャン バまたはプラズマソース壁材料、例えばヘリコンプラズマソースのような所定の ソース構造で使用される石英チューブまたは酸化アルミニウムチューブが必要で ある。これらバルクICPソースはプラズマが不均一となる問題を有しており、 拡大された均一なプラズマを発生するのにプラズマソースチャンバと処理環境と の間に挿入される多極磁気バケットを通常必要とする。しかしながらこの結果、 基板におけるプラズマ密度およびイオンフラックス密度が小さくなるので、処理 能力も低下する。更にこれらソースは励起RFアンテナの近くのプラズマソース チャンバ壁材料のスパッタリングに起因し、汚染物および粒状物質を発生するこ とがある。 ICPコイルは通常、13.56MHzのRFソースによって駆動される。R F電流はアンテナコイルの周りにRF電圧も誘導する。電界によって誘導された アークの発生またはチャンバのスパッタリングを解消するには、誘導されるRF 電圧の大きさを最小にしなければならない。このような条件により、励起コイル の最大許容インダクタンス、すなわちコイル巻き回数に所定の限界が生じる。更 に、所定のコイル構造(例えば所定の巻き回数、すなわちインダクタンス)に対 し、許容可能な最大RFソース周波数には上限がある。更に、従来の所定のIC Pソース構造4および指定された励起RF周波数(例えば13.56MHz)で は、チャンバまたはプラズマソース壁のスパッタリングを最小にし、処理汚染を 小さくするには、ICPアンテナへ送られる許容可能な最大RF電力に一定の限 界がある。従って、ICPコイル用の従来の構造のほとんどは、プラズマ処理が 不均一となる問題を有しており、これら構造はより大きいウェーハ処理に対して 容易に大型化できず、かつ(RF電力、圧力等の点で)比較的小さい有効処理ウ ィンドしか有していない。従来のICP構造は重要な処理状態、すなわち基板状 態のパラメータと妥協することなく、リアルタイムでプラズマの均一性を直接制 御する方法を提供できない。 高度なプラズマ製造プロセスでは、ウェーハの全表面の上のプラズマ密度およ びイオンフラックスの均一性を優れた状態で制御しなければならない。このよう な高密度プラズマソースにおけるプラズマの均一性の条件は、プロセスの均一性 の条件およびデバイスの損傷の双方を考慮することによって定められる。一般に 損傷のない均一な処理を保証するには、プラズマの不均一性を5%(3シグマ値 )よりも小さくしなければならない。従来のICPソース構造の多くは、種々の プラズマ処理用途のためのこれら厳密な処理の均一性の条件を満たすことができ なかった。 発明の概要 本発明によれば、これまで開発されたICP処理方法およびシステムに関連す る欠点および問題を実質的に解消または低減する、種々のデバイス製造用途のた めの誘導結合されたプラズマ(ICP)環境を発生するための方法およびシステ ムが提供される。本発明のICP処理方法およびシステムは半導体、データ記憶 装置、フラットパネルディスプレイおよび光起電デバイスを製造するのに利用で きる。 本発明の1つの特徴によれば、プロセスガスから第1プラズマゾーンを発生す るための第1の別々に制御された誘導コイルを含む、誘導結合された高密度マル チゾーンプラズマソース構造体およびそれを使用する方法が提供される。ICP ソース内にはプロセスガスから第2プラズマゾーンを発生するための第2の個々 に制御されるコイルが設けられる。種々の実施例では、3つ以上の個々に制御さ れる誘導コイルを使用できる。一実施例では、各ICPコイルゾーンに別個の1 つのRF電源を使用してもよい。各ICPコイルゾーンは単一コイルセグメント または少なくとも1つの直列コンデンサを使用する一組の相互に接続されたコイ ルセグメントのいずれかにより製造できる。 本発明の別の特徴は、本発明のマルチゾーンICP構造だけでなく、従来の単 一ゾーンのICP構造の双方に利用できるハーメチックシールされたICPソー ス構造体および製造方法にある。単一のハーメチックシールされた構造体として 、ICPアンテナおよびその封止ハウジングを形成することにより、本発明はI CP励起アンテナおよびそれに関連するハウジングのための種々のシールおよび 封止部を不要にするものである。更に本発明のモノリシックなICP構造および 製造方法は、ICP構造の完全性、プラズマソースの信頼性およびプロセス環境 における真空の完全性を良好にしている。 本発明のマルチゾーンICPソースでは、各ゾーンにおいてRF電流の振幅( および位相)を別々に調節できる。本発明のマルチゾーンICPソース構造は、 従来の単一ゾーン構造に対する種々の利点を提供するものである。これら利点と して、(1)リアルタイムの均一性制御を配慮した特徴の結果として、プラズマ の均一性(プラズマ密度およびイオン電流密度)が大幅に改善されること、(2 )多数のゾーンでプラズマ調節を行うことができるので、プロセスウィンドをよ り広くできること、(3)プラズマ密度およびイオンフラックス密度が大きくな ること、(4)大きな基板(例えば300mm以上のシリコンウェーハおよびフ ラットパネルディスプレイ基板)のための処理に対し、構造を大型化できること 、(5)電界誘導されたアークまたはチャンバおよびプラズマソース壁の材料の スパッタリングが生じないようにゾーン当たりのコイル巻き回数を少なくできる こと、(6)適当な現場モニタセンサを使用することにより、均一性を含むプラ ズマプロセスパラメータをリアルタイム、または稼働ごとに制御できること、( 7)デバイスの製造歩留まりを高めるために、製造機器の清浄度を改善できるこ と、(8)プラズマプロセス処理能力を大きくできること、および(9)IC Pソースの完全性を改善できることに起因し、プラズマ機器の信頼性およびプロ セスの反復性/性能を改善できることが挙げられる。 マルチゾーンICPソース構造は半導体デバイス、データ記憶デバイス、光起 電デバイスおよびフラットパネルディスプレイの製造に利用できる。ICPゾー ンの数の選択はICPソースの直径、基板のサイズ、ソース構造のタイプ、総最 大RF電力、プラズマプロセス処理能力条件を含む種々のパラメータおよび検討 事項に応じて決まる。半導体処理のような実際の用途のほとんどでは、ICPソ ースゾーンの数を2〜4にすることができるが、極めて大面積の基板を処理する ための異なる用途では、ゾーンの数をこれよりも多くすることが望ましいことが ある。例えば150mmまたは200mmの半導体ウェーハを処理するのに使用 されるプラズマ機器では、エッジと中心との間のプラズマプロセスの相対的均一 性を調節するのに、2ゾーンICPソース(アンテナ)構造を使用できる。20 0mmおよび300mmシリコンウェーハを処理するのに2つから5つの励起ゾ ーンを備えたICPソース構造を使用できる。 従って、本発明の技術的な利点は、均一なプラズマ処理をするために少なくと も2つの別々に制御されるコイルセグメントを使用するマルチゾーンの高密度プ ラズマソース構造体を提供できることにある。本発明のマルチゾーンICPソー ス構造体は、更に従来のICPソース製造および組み立て方法(例えば誘電真空 プレートに隣接するプロセスチャンバの外に設置されるか、またはICP真空プ ロセスチャンバ内の電気絶縁ハウジング内に封入された2つの冷却された、すな わち温度制御されたコイル)を使用するか、または真空環境内に設置されたハー メチックシールされたアンテナ構造体を使用して構成できる。 本発明の別の技術的利点としては、従来の単一ゾーンICP構造体だけでなく 、本発明のマルチゾーンICPソース構造体の双方に利用できるハーメチックシ ールされたICPソース製造構造体および方法を提供できることが挙げられる。 この新規な方法および構造体は5×10-9トール以下の超高真空(UHV)ベー ス圧力条件と適合性のある、完全性の高いICPソース構造体を提供することに より、エラストマーのOリングシールおよび別個のICPアンテナエポキシ封入 をする必要性を不要にするものである。 本発明の更に別の技術的利点としては、所定の有効なプラズマ状態およびウェ ーハ状態センサ、例えばフルウェーハの干渉計センサおよび空間解像光学的放出 センサを実現するのに利用できる現場センサビューポート構造が挙げられる。こ のフルウェーハビューの光学的ポートは、ICPソースの中心の設けられた、ハ ーメチックシールされた光学的(例えばサファイアまたは石英製の)ウィンド、 すなわちプラグを使用するものである。このポートはプロセス均一性モニタセン サ(例えばフルウェーハ干渉計センサ)と共に、本発明のマルチゾーンICPソ ース構造を使用するリアルタイムの(または稼働ごとの)プラズマプロセスの均 一性の制御を可能にする。 図面の簡単な説明 本発明およびその利点をより完全に理解できるように、添付図面と共に次の説 明を参照する。添付図面では同様な参照番号は同様な特徴を示す。 図1は、2ゾーンICPソースとして構成された本発明のマルチゾーンICP ソースの第1実施例の横断面図を示す。 図2は、図1の実施例(第1実施例)のための中間セラミックICP、すなわ ち誘電プレートに埋め込まれた2ゾーン誘導結合プラズマアンテナ部分の図を示 す。 図3は、図1の実施例(第1実施例)の頂部セラミック、すなわち誘電プレー トの横断面図を示す。 図4は、図1のマルチゾーンICP実施例(第1実施例)のためのガス分散し 、キャビティおよびそれに関連するガス注入入口を示す中間誘電プレートの底面 図を示す。 図5は、本発明のマルチゾーンICP構造の別の、または第2実施例の第1横 断面図を示す。 図6は、本発明の図5の実施例(第2実施例)の第2横断面図を示す。 図7は、図5の実施例(第2実施例)の中間誘電プレートの横断面図を示す。 図8は、本発明の図5の実施例のハーメチックシールされたコイル構造体、す なわち第2実施例の中間誘電プレートの平面図を示す。 図9は、電気RF、ガス注入および冷却水のためのフィードスルーを示す、図 6の実施例の頂部真空プレートの平面図を示す。 図10は、ICPプロセス処理能力レートを高めるために、ICPソースと基 板との間の間隔をより小さい最小値にできるよう、真空プレートとICPハウジ ングとの間の距離を長くした第2のマルチゾーンICP実施例の変形例の横断面 図を示す。 図11は、高処理能力のプラズマで補助された処理用途のために真空プロセス チャンバに取り付けられた図10の第2のICP実施例の変形例の第2横断面図 を示す。 図12は、本発明の別の(第3の)実施例の第1横断面図を示す。 図13は、図12の実施例(第3実施例)の第2の横断面図を示す。 図14は、図12の実施例(第3実施例)の中間誘電プレートの横断面図を示 す。 図15は、本発明の更に別の実施例(第4実施例)の第1横断面図を示す。 図16は、本発明の図15の実施例(第4実施例)の第2横断面図を示す。 図17は、プロセスガス入口、冷却材の流れおよび電気接続部のための種々の フィードスルーを示す、図15の実施例(第4実施例)の真空プレートの平面図 を示す。 図18は、径方向の低リラクタンス磁気ロッド位置を示す、図17に示された 真空プレートの底面図を示す。 図19は、本発明の図15の実施例(第4実施例)のための底部誘電プレート の横断面図を示す。 図20は、図19の底部誘電プレートの平面図である。 図21は、本発明の図15の実施例(第4実施例)の頂部誘電プレートの底面 図を示す。 図22は、本発明のマルチゾーンICPソース構造体を使用する真空プロセス チャンバの全体の略図(例えば3ゾーンICP構造)を示す。 図23は、入力ガスを2つのグループに分けた、図17のICPソースのため の2マニホールドガス分配装置の略図を示す。 図24Aは、図17のマルチゾーンICPソースのための3ゾーンICP構造 の略電気配線図を示す。 図24Bは、図17のマルチゾーンICPソースのための2ゾーンICP構造 の略電気配線図を示す。 図25Aは、調節自在なコンデンサアレイに基づく単一のRF電源のための3 ゾーンICP構造の略電気配線図を示す。 図25Bは、調節自在なコンデンサアレイに基づく単一のRF電源のための3 ゾーンICP構造の略電気配線図を示す。 発明の詳細な説明 図面には本発明の好ましい実施例が示されており、種々の図面の同じ部品およ び対応する部品を示すのに同じ番号が使用されている。 図1〜4に示された本発明の実施例は、プラズマエッチング、PECVD、表 面クリーニング、プラズマ水素化およびその他のプラズマで補助された製造プロ セスを含む種々の高密度プラズマ用途のためのハーメチックシールされたマルチ ゾーン(HMZ)ICPソースである。本発明の原理は2ゾーンICPソースに 適用できるだけでなく、任意の数(2、3、4およびそれ以上の数)のICP励 起ゾーンを備えたマルチゾーンソースにも適用できる。更に本発明の好ましい実 施例は、チャンバの真空ベース圧力を低下することにより誘電真空ウィンドを不 要にし、かつ真空完全性を改善するよう、全金属製シールICPソースおよびプ ロセスチャンバの実現を可能にするよう、ICP真空プロセスチャンバ内にHM Z ICPソースを構成することである。しかしながら本発明のHMZ ICP ソースはソースをプロセスチャンバから分離するための誘電真空ウィンドを使用 して真空チャンバ外でも構成できると理解される。 図1〜4のHMZ ICPソースは6ゾーンシャワーヘッドガスインジェクタ と組み合わされた所定の構造となる。しかしながらHMZ ICPソースは単一 ゾーンのシャワーヘッドまたは任意の数の独立して制御されるシャワーヘッドゾ ーン(例えば1個〜10個のゾーン)を備えたマルチゾーンシャワーヘッドのい ずれかを使用することとも適合性がある。所定の用途、例えば表面クリーニング は単一ゾーンのシャワーヘッドしか必要としないことがあるが、その他の用途、 例えばPECVDはマルチゾーンガス流調節によりプロセスの均一性の制御を改 善するよう、マルチシャワーヘッドゾーンを使用することから利点を享受できる 。このマルチゾーンシャワーヘッド機能により、特に均一なPECVD用途に有 効なプロセスガスマス運搬形状を放射状に制御できる。更に、マルチゾーンシャ ワーヘッドを使用すると、ガスをあらかじめ混合することなく、多数のガスを注 入するのにソースを使用できる。この結果、供給ガスライン内またはICPソー ス内ではなく、プラズマプロセス環境内で多数のガスが混合される。例えばCV D用途において、2つのガスシステム内で多数のプロセスガスを分離することに より、シャワーヘッド内で気相核形成および粒子の発生する可能を除くことがで きる。例えば二酸化シリコン堆積に使用されるPECVDプロセスでは、プロセ スガスシステム内にはSiHおよびNOが含まれることがある。本発明のマルチ ゾーンシャワーヘッド装置を使用すると、これらガスを分離し、バイナリーシス テムとして交互に配置されたシャワーヘッドゾーンに注入することができる。 本明細書の導入部において、特に図1について注目されたい。図1はHMZ ICPソース100を示し、このソースは水冷、すなわち温度制御された金属製 頂部真空プレート102を含み、このプレートはステンレススチールまたはアル ミニウムから形成でき、頂部誘電プレート104に隣接する。この頂部誘電プレ ート104は中間誘電プレート106にハーメチックシール、すなわち接合され 、プレート106自身もシャワーヘッド注入プレート108にハーメチック状態 に接合されている。金属製頂部プレート102、頂部誘電プレート104、中間 誘電プレート106およびシャワーヘッド注入プレート108を光学的プラグ( またはウィンド)110が貫通しており、このプラグは全製造プロセスにわたっ てウェーハの全表面に対する光学的なビューポートとなっている。この光学的ビ ューポートは適当な光学的センサ、例えば空間分解形プラズマ放出センサを使っ てプラズマのプロセスパラメータをモニタするのにも使用できる。 金属製頂部プレート102は多数のフィードスルー、すなわち貫通部を含み、 これらの一部は冷却材(例えば水)を流すためのものであり、一部はICPガス 注入のためのものであり、残りは電気RFフィードスルーのためのものである。 例えば金属製頂部プレート102をシャワーヘッドガス入口112、114およ び116の第1の組が貫通しており、この入口は頂部誘電プレート104内の孔 に接合され、プロセスガスをそれぞれのシャワーヘッドゾーン分散キャビティ1 18、120および122内に注入するよう、中間誘電プレート106内の孔に 供給するようになっている。シャワーヘッドゾーンキャビティ118、120お よび122は、シャワーヘッド注入プレート108の注入孔124、126およ び128のリングにプロセスガスを向ける。同様に、シャワーヘッド入口130 、132および134は金属製頂部プレート102も貫通し、頂部誘電プレート 104内の孔に接合され、プロセスガスをそれぞれのシャワーヘッドゾーン分散 キャビティ136、138および140内に注入するよう、中間誘電プレート1 06内の孔に供給するようになっている。プラズマプロセスガスは関連するシャ ワーヘッドゾーンキャビティ136、138および140からシャワーヘッド注 入プレート108のガス注入孔142、144および146の数個のリングを通 り、これらリングから出るようになっている。 RFフィードスルー150および152を含む複数の電気RFフィードスルー も真空ベースプレート102を貫通している。これらRFフィードスルー150 は、例えばRFフィードスルー接続部154を通るように接続されており、金属 製頂部プレート102のチャンネル156を貫通し、頂部誘電プレート104を 貫通するように延び、ICPアンテナのRFコイルセグメント173(このセグ メントは2ゾーンHMZ ICPソース100の装置の一部となっている)に接 触するまで、中間誘電プレート106の冷却水チャンネル160内に延びている 。 ハーメチックシールされたICPソース100はRF電力搬送およびICPプ ラズマ発生プロセスに起因するオーミック電力損失により発生する熱を除くため に、2つの冷却ゾーン(例えば水冷ゾーン)を含む。第1ゾーンは入口チャンネ ル162を含み、この入口チャンネル162は金属製頂部プレート102を貫通 し、中間誘電プレート106の冷却水中間164に冷却水を与えるよう、頂部誘 電プレート104に接合されている。中間誘電プレート106は内部に冷却路を 提供しており、この冷却路により水が、例えば冷却チャンネル164に進入し、 これを通って冷却チャンネル160に流れ、最終的に冷却チャンネル166に進 入することができる。冷却チャンネル166からの冷却水は出口168を通って ICPソースから出る。出口168はゾーン1からの冷却チャンネルから冷却水 を受け、冷却水の入口162から連続的に熱を除去する作用をする冷却チャンネ ル164、160および166を通る冷却水の連続流を可能にする。ハーメチッ クシールされたICPソース100の第1ゾーンでは、ゾーン1のRF接点17 0はゾーン1のメッキされた(または鋳製または挿入された)コイルセグメント 172、173および174の各々を通ってRF電流が流れることができる電流 路を構成する。 図1に示されたハーメチックシールされたICPソース100の説明を完了す るにあたり、金属製頂部プレート102内には冷却水を受けるための冷却チャン ネルフィードスルーが設けられており、このフィードスルーは(インライン絶縁 セラミックチューブインサートを介し)ゾーン1の入口チャンネル162に接続 された冷却チャンネル176と、(電気絶縁のためのインライン絶縁セラミック チューブインサートを介し)ゾーン1の出口チャンネル168に接続された冷却 チャンネル178とを含む。冷却水の入口182はハーメチックシールされたI CPソース100のゾーン2およびその周りのゾーンの冷却チャンネル184に 冷却水を与えるためのインライン絶縁セラミックチューブインサート182に接 続されている。中間誘電プレート106では冷却材はコイルセグメント190お よびその周辺の領域を冷却するよう、冷却水チャンネル188まで流れる。冷却 水は冷却チャンネル188から中間誘電プレート106内を流れ、冷却チャンネ ル192まで流れ、ハーメチックシールされたICPソースおよびその周辺の領 域のゾーン2のコイルセグメント194を冷却する。この冷却水はその後、冷却 材アウトライン197を通ってゾーン2のコイルから流出する。 中間誘電プレート106では次第に深さが増すようになっている冷却水チャン ネル164、160、166、184、188および192が示されている。こ の構造により、それぞれのコイルセグメント174、173、172、186、 190および194の垂直な形状設定が可能となっている。このようなICPア ンテナの形状設定(凹状または凸状の形状設定)は、ICPの均一性の性能を最 適化するための別の設計パラメータを提供する。シャワーヘッド108は電気的 に絶縁性の、かつ熱伝導性材料から製造され、好ましくはセラミック材料、例え ば窒化アルミニウム(AlN)、酸化アルミニウム(Al23)または窒化ホ ウ素(BN)から製造することが好ましい。同様に頂部誘電プレート104およ び中間誘電プレート106も適当な電気絶縁性かつ熱伝導性材料、例えばAlN 、Al23またはBNから製造すべきである。適当な場合、ハーメチックシール されたICPソース100のプレートの間の接触境界部を(好ましくは熱接合プ ロセスを使って)ハーメチック接合または溶着し、もしくは他の方法で(例えば 高温硬化UHV適合性エポキシを使って)接続し、超クリーンなプラズマ処理を 行うためのシールされたICPアンテナ封入ハウジングも形成する。例えば金属 製頂部真空プレート102と頂部誘電プレート104とを(例えばインジウムに よる)熱接合またはエポキシ接合プロセスを使って共に溶着またはハーメチック 接合する。頂部誘電プレート104と中間誘電プレート106もそれらの接触境 界接合部で共に接合する。同様に、中間誘電プレート106とシャワーヘッド注 入プレート108もそれらの接触接合部で接合する。インジウムまたは他の適当 な接合材料または鑞付け材料を使う単一の熱接合プロセスにより、4枚のすべて のプレート(金属プレート102およびセラミックプレート104、106およ び108)を共に接合できる。 光学的プラグ110はプラズマプロセス環境を探針または観察するためのビュ ーポイントを提供する。光学的プラグ110の頂部にはビューポート198が設 けられており、このビューポート198はハーメチックシールされたフランジ2 00を通し、金属製頂部プレート102に(金属またはワイヤシールを使って) 接続またはシールされる。ハーメチックシールされたICPソースはプラズマプ ロセスチャンバ内にICPソースを支持し、1×10-8トール未満のUHVベー ス圧力の設定を可能にするように、水冷(またはガス冷却)された金属(ステン レススチール)製の頂部真空プレート102を使用している。金属製の頂部プレ ート102は必要なフィードスルー(RF、ガス入口、ICPコイルチャンネル のための水冷入口/出口)も提供し、更にICPプロセスチャンバに対するバキ ュームシールを(例えば金属シールを使って)提供している。この金属製頂部真 空プレート102の冷却はセラミックハウジング内のコイルチャンネルを通って 流れるICPソース冷却材(水)を間接的に使用するか、または金属製真空頂部 プレート102自身の内部の別個に埋め込まれた冷却チャンネルを直接使用する かのいずれかにより行うことができる。 従って、図1の実施例のハーメチックシールされたICPソース100は、( 2ゾーンICP構造のための)2つのRF電源、16個のコイルセグメントおよ び6対の電気接点のための12個の電気RFフィードスルー、4個の冷却フィー ドスルー(2つの入口および2つの出口を含む)および(6つの注入ゾーンを想 定した)6つのプロセスガス入口から成る。しかしながらハーメチックシールさ れたICPソース100の同じ2ゾーンICPコイル構造に対しては、ICPセ ラミックハウジングの中間誘電プレート内にゾーン1の水チャンネルとゾーン2 の水チャンネルを直列に接続することにより、外部冷却材フィードスルーの数を 2つに低減できる。 図2は、本実施例のICPアンテナ202のための2ゾーンアンテナ構造を含 む中間誘電、すなわちセラミック絶縁プレートの平面図を示す。このマルチゾー ンICPアンテナ202は、ハーメチックシールされたICPソース100の中 間誘電プレート106内に製造されており、中間誘電プレート106は熱伝導性 、かつ電気絶縁性材料、例えばAlN、Al23またはBNから製造される。I CPソース中間誘電プレート106内には点線206により概念的に区別された ICP発生をするための2つのRFアンテナゾーンが形成されている。例えばア ンテナセグメント172、173および174に対応する点線106外のICP アンテナ202の部分は、ゾーン1のアンテナ208を形成するが、他方、アン テナセグメント186、190および194を含む点線206内の部分はゾーン 2のアンテナ210を形成する。 図2が更に示すように、ゾーン2のアンテナ210の中心には光学的ビューポ ートプラグ110のためのフィードスルー孔が設けられている。ゾーン1、すな わち外側のゾーンのアンテナ208は図1に最初に説明した、メッキされた(ま たはスパッタリングされ、かつメッキされた、もしくは挿入された割れたリング )のコイルセグメント172に接続された外側ゾーン1のRF接点211から始 まる。このコイルセグメント172は割れたリングとして円形路内に形成されて おり、RFターミナル接点212まで延びている。外側ゾーンのコイルセグメン ト172上の冷却材の溝162は、中間誘電プレート106のジャンパー水チ ャンネル214を介し、隣接する外側ゾーンのコイルセグメント173の冷却材 チャンネル162に直列に接続されている。メッキされていない(電気的に絶縁 性の)ジャンパー水チャンネル214にはRFターミナル接点150(図1)が 接続しており、この接点150はICPコイルセグメント173にも接続してい る。ICPコイルセグメント173も割れたリングとして形成されており、中間 誘電プレート106の周りの円形路に従って、RFターミナル接点216に接続 している。外側ゾーンセグメント173の冷却材溝160はRF接点216と2 20との間のメッキされていない、すなわち導電体のない冷却材チャンネル21 8を通って外側ゾーンセグメント174の冷却材溝チャンネル166に直列に接 続されている。RFターミナル接点220は外側ゾーンのRF接点170まで、 割れたリングの円形路をとる外側ゾーンのメッキされた(すなわち導電体で被覆 された)コイルセグメント174に接続している。 内側ゾーン、すなわちゾーン2のアンテナ210は、内側ゾーンコイルセグメ ント186に接続するRF接点222で始まり、コイルセグメント186は割れ たリングとして円形パターンに延び、RFターミナル接点222および224に 接続している。内側ゾーンコイルセグメント186の冷却材溝チャンネル184 は、メッキされていない(導電体のない、すなわち電気的に絶縁性の)冷却材チ ャンネルジャンパー226を介し、コイルセグメントの冷却材溝チャンネル18 8に接続している。内側ゾーンコイルセグメント190はRF接点227と22 8との間の冷却材溝チャンネル188の下で(メッキされた、すなわち挿入され た導電体を使った)割れたリングとして延び、内側ゾーンコイルセグメント19 0の冷却材溝チャンネル188はメッキされていない(導電体のない、すなわち 電気的に絶縁性の)冷却材チャンネル230を介し、コイルセグメント194の 内側ゾーン冷却材溝チャンネル192に接続している。RF接点224と227 とはRFコンデンサを介し、外部で共に接続されている。同様にRF接点228 と232も別の外部RFコンデンサを介し、共に結合されている。(ICPソー スの大気側の)これら外部コンデンサ接続によって、誘導電圧を低減するよう、 2つの直列コンデンサを備えた3回巻きの内側ゾーンコイルが形成されている。 内側ゾーンのRF電源の接点はRF接点222および234である。RF接点2 34はコイルセグメント194に接続し、このコイルセグメントは割れたリング として光学的ビューポートプラグ110を囲み、内側ゾーンのRF接点232に 接続している。ICPアンテナ202から判るように、2つの別個のRF電源を 使用することによりゾーン2のアンテナ部分208はゾーン1のアンテナ210 と独立して作動できる。 従って、図2のハーメチックシールされたICPソース100のアンテナ20 2は、各々3回巻線部を有する2つの誘導アンテナを含む。例えば各ゾーンにお いて2つのコイル巻線部を備えた3ゾーンICPソースを設定するように、この 同じ構造を異なる外部配線構造と共に配置してもよい。本発明の範囲内では、3 ゾーンICP構造、またはより多くのゾーンを備えた別の構造も良好であるが、 本明細書の説明の他の部分では2ゾーンICPソース設計構造を参照する。図1 〜4の構造はICPソース構造体内でハードウェアの設計変更をすることなく、 外部から電気的に構成できることにも留意すべきである。 図2が示すように、金属製頂部プレート102内の超高真空(UHV)と適合 性のある電気RFフィードスルーおよびコイルセグメントに取り付けられたスプ リング力が加えられた(またはハンダ付けされた)電線を使って電気RF接点の 6対が6回のコイル巻き線にされている。これら12個の電気接点はマルチゾー ンセグメントターミナル211(Z11)、212(Z12)、150(Z13)、2 16(Z14)、220(Z15)、170(Z16)、222(Z21)、224(Z22 )、227(Z23)、228(Z24)、232(Z25)および234(Z26) に接続している。各ゾーンに3回のコイル巻線部を備えた2ゾーンハーメチック シールされたICPソース100の構造の場合、外部電気配線およびコンデンサ 接続は次のとおりである。電気RFターミナル接点211(Z11)と170(Z16 )とを第1ゾーンのRF(すなわち13.56MHz)の電源に接続し、外部 コンデンサターミナル接点212(Z12)と150(Z13)とを外部RFコンデ ンサを介して接続し、外部コンデンサターミナル接点216(Z14)と170( Z15)とを第2RFコンデンサを介して接続する。これにより3回のコイル巻線 部および直列の2つの外部コンデンサを含むICPゾーン1のアンテナ208の 形成が完了する。ICPゾーン2のアンテナ210は電気RFターミナル接点2 22(Z21)およ び234(Z26)を第2RF電源に接続することによって構成されている。RF ターミナル接点224(Z22)と227(Z23)とを接続するのに、1つの外部 RFコンデンサが使用され、別のRFコンデンサがRFターミナル接点228( Z24)と232(Z25)とを共に接続している。2つの電源の間の位相角を制御 するのに、2つのICP RF電源の間で移相器/コントローラを使用してもよ い。更に、ICP RFソースのいずれかと基板のバイアスRF電源との間の位 相角を制御するのに別の移相器を使用してもよい。所望する場合、n個のゾーン (n=1、2、3、4またはそれ以上)のICPソースとして作動できるように 、図1〜4のICPソース100の構造を外部で実現してもよい。各ゾーンにお けるコイル巻線回数は、外部配線および直列RFコンデンサの構造を設計するこ とによっても選択することが可能である。 図3は、接合されたICPフィードスルーを含む頂部誘電プレート104の構 造をより詳細に示している。この頂部誘電プレート104は熱伝導性および電気 的に絶縁性のセラミック材料、例えば窒化アルミニウム(AlN)、窒化ホウ素 または酸化アルミニウムから製造できる。この頂部誘電プレート104は本実施 例では2つのアンテナゾーンおよび6つのシャワーヘッドゾーンのための数個の 接合されたフィードスルーを有する。左側のフィードスルー部分240では、I CPアンテナゾーン1の電気フィードスルー150は図2のゾーン1のRFアン テナ208内のRF接点の1つに接続している。ICPゾーン1のセグメントの ために電気RFフィードスルーが6つ設けられ、ICPゾーン2のセグメントの ために別の電気RFフィードスルーが6つ設けられている。右側のフィードスル ー部分242ではICPアンテナゾーン2の電気フィードスルー152は図2の ゾーン2のRFアンテナ210内のRF接点の1つに接続している。頂部誘電プ レート104はシャワーヘッド注入分散キャビティ(6つのシャワーヘッドゾー ンが示されている)へのガス流接続部のための必要なフィードスルー入力112 、114、116、130、132および134も含む。同様に、頂部誘電プレ ート104は、ゾーン1の冷却材の入口162およびゾーン1の冷却材出口16 8のための接合されたチューブを通る冷却水の流れを可能にしている。頂部誘電 プレート104はゾーン2の冷却材の入口180およびゾーン2の冷却材出口1 9 7のための2つの接合されたチューブも含む。RFフィードスルーを除き、接合 されたフィードスルーの全て(ガス注入入口および冷却材入口/出口チューブ) は、頂部誘電プレート104の底面に面一となっている(すなわちこれらは誘電 プレートの厚みの何分の1かにチューブを部分的に挿入することにより、誘電孔 に接合できる)。 従って、図3は(ガス注入および水冷用の)接合されたチューブおよび電気R Fコネクタのすべてを備えた頂部誘電プレート104を示す。マルチゾーンイン ジェクタを備えたICPソースに対しては、マルチガス注入チューブが使用され 、一方、単一ゾーンシャワーヘッドを備えたICPソースに対しては単一のガス 注入チューブを使用できる。図3の構造は4本の水冷チューブ162、168、 180および197も示している。所望する場合、導電体の溝を含むICPアン テナ溝を含む中間誘電プレート内の割れたリングの間に冷却溝セグメント(w/ o金属かまたは導線のジャンパー/リンク)を増設することにより、ICPアン テナの冷却チャンネルを内部で直列に相互接続することにより、水冷フィードス ルーチューブの数を2本に低減できる。 図4は、本実施例の中間誘電プレート106の底面図を示す。この誘電プレー トは光学的プラグ110を囲み、熱伝導性、かつ電気絶縁性セラミック材料、例 えば窒化アルミニウム(AlN)、窒化ホウ素(BN)または酸化アルミニウム (Al23)からも形成できる。本実施例ではセラミック製シャワーヘッド10 8は6つのガス注入ゾーンを含み、各ゾーンは図4に示されている中間誘電プレ ート108に埋め込まれたガス分散キャビティの1つに対応する。これらガス分 散キャビティは中間誘電プレート106内に形成された円形の浅い溝であり、ガ ス注入入口に接続されている。特に内側ガス分散キャビティリング140は、ガ ス注入入口134を介し、プロセスガスを受け、このプロセスガスをシャワーヘ ッド108の注入孔146の内側ゾーンに均一に向ける。第2のガス分散キャビ ティ138はガス注入入口132を介し、プロセスガスを受け、このプロセスガ スをシャワーヘッド注入孔144の第2ゾーンに均一に分散させる。第3ガス分 散キャビティ138はガス注入入口130からのプロセスガスを受け、このプロ セスガスをシャワーヘッド注入孔142の第3ゾーンに分散させる。第4のガ ス分散キャビティ122は入口116を介し、プロセスガスを受け、このプロセ スガスをシャワーヘッド注入孔128の第4ゾーンにガイドする。第5ガス分散 キャビティ120は入口114からのプロセスガスを受け、このプロセスガスを シャワーヘッド注入孔126の第5ゾーンに分散させる。第6ガス分散キャビテ ィ118は入口112からのプロセスガスを受け、このプロセスガスをシャワー ヘッド注入孔124の外側ゾーンに向ける。 熱接合プロセスを使って形成される接合ジャンクション部またはエラストマー Oリングシールを使用して、ハーメチックシールされたICPソース100のた めの真空、水およびプロセスガスシールを構成できる。接合された構造体はプロ セスの汚染、ベース圧力の劣化およびエラストマーOリングに関連するガス漏れ の問題の恐れを解消するので、ICPソース100においてハーメチックシール を構成するための好ましい方法は、適当な低溶融点金属または金属合金を使用し て熱接合することである。接合されたICP構造を形成するにはインジウム、イ ンジウム合金または他の適当な接合材料を使用できる。金属をベースとする熱接 合の別の方法として、熱硬化するエポキシ材料を使用するハーメチック接合方法 がある。 図1に示されるように、ICPソース100のハウジングは金属製頂部プレー ト102の他に3枚の電気絶縁性ディスクのスタックを含む。先に述べたように 、これらディスクはセラミック材料、好ましくは熱導電性セラミック材料、例え ば窒化アルミニウム(AlN)、窒化ホウ素(BN)、アルミナ(Al23)ま たは耐プラズマポリマーをベースとする材料、例えばベスペル(Vespel)からも 製造できる。セラミック材料は高温で安定であるので、熱接合プロセスを使って 組み立てるとICPソース100構造に対して良好に働く。これは種々の接合材 料を使用する熱接合プロセスが200℃〜600℃の範囲の接合温度を必要とす ることによるものである。金属製接合材料として錫(Sn)またはインジウム( In)またはそれらの合金を使用する場合、300℃よりも低い接合温度を使用 できる。更に、エポキシ接合プロセスは通常、300℃よりも低い熱硬化温度を 使用する。 ICPソース100の光学的ビューポート198を使用するかどうかは任意で あり、このビューポートはハーメチックシールされたICPソース100の中心 に設けることができる。光学的プラグまたは光パイプ110は、リアルタイムの 現場センサを構成するのに、適当な光学的に透過性の光パイプ材料、例えば石英 またはサファイアから製造できる。ビューポート198はリアルタイムレートお よびプロセスの均一性制御のためのフルウェーハの干渉計センサを一体化するた めの適当なウェーハ観察ポートとなる。このビューポートは他のタイプのプラズ マセンサ、例えば単一波長またはスペクトルプラズマ放出センサを一体化するの にも使用できる。本発明のICPソース構造の原理は、平面状および有形ICP コイル構造の双方に適用できる。20cm(8インチ)ウェーハ処理では金属製 の頂部プレート102は35.56cm〜50.8cm(14インチ〜20インチ )の直径を有することがある。真空プレートの直径は45.72cm(18イン チ)のチャンバの内径を有する、米国ニューヨーク州ロチェスターのCVCプロ ダクツ社によって製造されているユニバーサルプラズマモジュール(UPM)の 場合のように、20インチにも大きくなることがある。金属製頂部プレート10 2は真空プロセスチャンバ上で使用するための十分な機械的強度を得るために、 厚みが0.635cm〜2.54cm(1/4インチ〜1インチ)の範囲となり得 る。 頂部誘電プレート104、中間誘電プレート106およびシャワーヘッド10 8は、ICPソース100の主要ICPアンテナハウジングとして3つの接合さ れたセラミック(AlN、Al23またはBN)もしくはポリマーをベースとす る(例えばベスペル)ディスクのスタックを形成する。シャワーヘッドプレート 108は円形孔(0.5mm〜1mmの直径の孔)のリングのアレイを備えた比 較的薄いプレート(例えば約0.318cm〜0.635cm(1/8インチ〜1 /4インチ)の厚み)であり、6ゾーンシャワーヘッド構造を形成している。シ ャワーヘッド108の中心開口部109は、ビューポート光学的プラグ110を 受けるのに1.27cm〜3.81cm(0.50インチ〜1.5インチ)の範囲の 直径を有することが好ましい。このシャワーヘッド108はICPRFアンテナ コイルセグメントおよび冷却水チャンネルを含む中間誘電プレート106に熱接 合(またはエラストマーOリングシールを使って接続)される。 図1〜4に示されたICPソースの実施例は、ICPコイルセグメントを形成 するのにICPアンテナ溝、すなわち冷却材チャンネルの底面しか導線チャンネ ルを含まないことを示している。所望すれば冷却材チャンネルの底面だけでなく 、RF抵抗を小さくするために溝の側壁もコーティングすることにより導線チャ ンネルを形成するようにメタライゼーションプロセス(例えば電気化学的メッキ またはスパッタリングと電気メッキ堆積の組み合わせ)を使用してもよい。20 cm(8インチ)ウェーハの処理を行うにはICPソースのセラミックハウジン グの最適な直径は25.4cm〜35.56cm(10インチ〜14インチ)、好 ましくは30.48cm(12インチ)である。好ましくはICPアンテナ導線 セグメントはアルミニウムまたは銅のような適当な材料から製造でき、その後、 指定されたアンテナチャンネルに挿入できる。 図5は、ICPソース100としての本発明の別の実施例、すなわち第2実施 例の第1横断面図を示す。図5〜9に示されたこの別の実施例は、ICPアンテ ナまたは誘電ハウジングの形状を設定しない平面状のハーメチックシールされた マルチゾーン(HMZ)ICPソース構造を示す。このHMZ ICPソース3 00は金属製(例えばステンレススチール製)の真空プレート302を含み、こ の真空プレート302は頂部誘電プレート304に取り付けられ、プラズマプロ セスチャンバ(図示せず)に対する真空シールとなっている。頂部誘電プレート 304はハーメチック接合された接合部またはエラストマーOリングシール(3 01および303)のいずれかを使用して真空プレート302に接触している。 頂部誘電プレート304はハーメチック接合またはOリングシールのいずれかを 使って形成された接合部305および307を介し、中間誘電プレート306に 取り付けられている。更に、この中間誘電プレート304は接合された接合部3 09または単一の外側Oリングシールのいずれかを使ってシャワーヘッドプレー ト308に対してシールされている。光学的ビューポート310は光学的プラグ 110または光学的ウィンド198を含み、このウィンドはフランジ200にハ ーメチックシールされ、フランジ200は金属またはワイヤシールを使って真空 プレート302に固定されている。更に、真空プレート302はICP RFア ンテナによるプレート302の過熱を防止するために多数の埋め込まれた冷却材 チャンネルを含む。真空プレート302内のフィードスルー孔312をマルチゾ ーンプロセスガス注入入口314が貫通し、この入口は更に頂部誘電プレート3 04を貫通し、中間誘電プレート306まで延び、プロセスガスをシャワーへッ ドゾーンの分散キャビティ316に与えるようになっている。シャワーヘッドゾ ーンの分散キャビティ316はシャワーヘッド入口314からのプロセスガスを 受け、シャワーヘッド注入孔318に均一にガスを分配する。 下部誘電プレート306は、ガス分散キャビティ316および接合ガス注入入 口314の他にICPソースアンテナセグメント328(別個のセグメントが8 個示されている)も含む。このICPソースアンテナセグメント328はメッキ 、蒸着、スパッタリングまたは鋳造、成形プロセスを使って中間誘電プレートの 頂部表面に形成された溝を満たすことにより形成される。別の方法としては、適 当な材料、例えばアルミニウムまたは銅からICPソースアンテナセグメントを 製造し、その後、これらセグメントを中間プレートのアンテナキャビティに挿入 する方法がある。ICPアンテナセグメント328は他の金属材料、例えば耐火 性金属からも製造できる。頂部誘電プレート304はICPアンテナコイルセグ メント上に形成された冷却材溝320を含む。これら冷却材チャンネルは外部冷 却材入口/出口チャンネルに接続し、稼動中のICPソースハウジングの加熱を 防止する。図5のICP構造は4枚のプレート(1枚の金属プレートと3枚の誘 電プレート)のスタックから成り、これらプレートは(インジウムまたは接着剤 のような別の材料を使用するか、または熱エポキシ接着を使う)Oリングを用い ないハーメチック接合プロセスまたはエラストマーOリングシールのいずれかを 使用することにより共に接続されている。これら誘電プレートは適当なセラミッ ク(AIN、BNまたはAl23)またはポリマー(すなわちベスペル)材料か ら製造できる。単一ゾーンまたはマルチゾーンのシャワーヘッド作動をするため に、1つ以上のガスマニホールドに(ステンレススチールチユーブ製の)ガス注 入入口314が外側から接続されている。 図6は、図5の横断面に垂直な横断面に沿った、図5のマルチゾーンICPソ ース300の別の横断面図を示す。図5を参照してこれまで説明した部品は、図 6に示された部晶と同一である。しかしながら、図6は冷却水チャンネル320 に冷却水を向けるための冷却水(または任意の冷却材)入口321を更に示して おり、冷却水チャンネル320は頂部誘電プレート304内の冷却材ジャンパー により冷却水出口322に直列に接続されている。本発明は、マルチゾーンIC Pソース300の種々の部品を接続するために、インジウムまたは別の適当な接 合材料を使用したいくつかの接合された接合部324を使用している。図6は更 に、種々のICPアンテナコイルセグメントのためのスプリング押圧された、ま たはハンダ付けされた電気フィードスルーコネクタ313を示している。例えば 8個の(アンテナ)コイルセグメントを備えたICPソース構造体に対して16 個の電気コネクタが使用されている。 図7は、図5の実施例に設けられた中間誘電プレート306の一実施例を示す 。先に述べたように中間誘電プレート306は適当な熱伝導性かつ電気的絶縁性 基板材料から製造され、この誘電プレート306はセンサに基づくプロセスモニ タリングおよび制御のための光学的ビューポート198の光学的プラグ110を 受け入れるための(またはプラズマチャンバおよび/またはウェーハ表面の光学 像を与えるための)通路326を含む。円形の割れたリング形状では高周波電力 を受け入れ、マルチゾーンICPアンテナ部分328として働くための多数のア ルミニウムか充填された、または銅が充填された(一般には電気導線で満たされ た)溝またはトレンチが設けられている。中間誘電プレート306内にはマルチ シャワーヘッドゾーン分散キャビティ316も設けられている。マルチゾーンI CP構造に対するハーメチックシールを確立するために、中間誘電プレート30 6の頂部表面および底部表面にプレート接合部324が形成されている。中間誘 電プレート306は熱伝導性、電気絶縁性セラミックディスク、例えば窒化アル ミニウム、酸化アルミニウムまたは窒化ホウ素の12インチ直径のディスクから 製造できる。図7の実施例では導線で満たされた(例えばアルミ充填または銅充 填)トレンチ328は、割れたリング状をした平面状に8回巻かれたコイルの形 態となっている。ハーメチックシールされたマルチゾーンICPアンテナセグメ ントは中間誘電プレート306の一体的部分となっている。これらアンテナセグ メントは別々に製造され、その後、マルチゾーンICP構造をハーメチックシー ルまたは接合する前に、中間誘電プレートのトレンチ328に挿入される。シャ ワーヘッドゾーン分散キャビティ316は中間誘電プレート306の底面にて、 光学的プラグ孔326の周りに連続する同心状リングを形成する。ハーメチック シールトレンチ内にはプレート接合部324を形成でき、これら接合部は底部表 面上の隣接するガス分散キャビティと、頂部表面上のマルチゾーンICPアンテ ナセグメントとの間に連続する同心状リングを形成する。接合材料は、例えばア ルミ、錫、アルミニウムシリコン、インジウムまたは他の適合性のある材料、例 えば鑞付け材料、もしくは熱伝導性エポキシ材料から製造できる。 図8は、図5の実施例のハーメチックシールされたアンテナ構造を示すための 中間セラミックプレート306の平面図を示す。特に平面状の頂部表面330は 図8の実施例では中間誘電プレート306と頂部誘電プレート304との間にハ ーメチック接合部を形成するためにハーメチック接合ジョイント324の9個の 同心状連続リングを含む。各ハーメチック接合ジョイント324は好ましくは適 当な接合材料、例えばインジウムで満たされた円形の浅い溝、すなわちトレンチ を含むことが好ましい。図8のマルチゾーンコイル形状を形成するために、ハー メチックシールトレンチ324によって互いに分離された同心状の割れたリング 状をした8個の(またはその他の任意の数の)誘導コイルセグメント328が使 用されている。マルチゾーンコイルセグメント328は指定された基板の溝を満 たしており、アルミニウムまたは他の伝導性材料、例えば銅から製造できる。 図8には、コイルセグメントが8個示されているが、マルチゾーンのICPの 均一性制御状況および処理すべき基板サイズに応じて特定用途に対し、それ以上 の数の誘導セグメントまたはそれよりも多い数の誘導セグメントも使用できる。 各セグメントの横断面は幅が0.635cm〜1.27cm(0.25インチ〜0. 5インチ)で、厚みが0.00254mm〜3.048mm(0.0001インチ 〜0.120インチ)の大きさにすることができる。各同心状の割れたリング3 28は外部の高周波電源に接続するか、またはRFコンデンサを介し、アンテナ コイルセグメントを互いに外部から相互接続するための2つの電気接点ターミナ ル332および336を含む。図8の実施例では、中間セラミックプレート30 6は、20cm(8インチ)ウェーハ処理のために30.48cm(12インチ )の直径および0.26インチの厚みを有する。このプレートは窒化アルミニ ウム(AlN)、窒化ホウ素(BN)、酸化アルミニウム(Al23)または他 の適当な材料から製造できる。 図9は、図5の実施例のための金属製真空プレート302の平面図を示す。金 属製頂部プレート302の頂部表面340はマルチゾーンシャワーヘッドプロセ スガス入口314を貫通できるようにするフィードスルーチャンネル312を示 している。図9に示されたシャワーヘッド入口の表示は次のとおりである。SH −81およびSH−82は、図5のシャワーヘッド注入プレート308のための 最も外側の注入ゾーンの孔318のための2つのハーフゾーンシャワーヘッドガ ス入口である。SH−11およびSH−12まで下方に続く連続する各表示SH −71およびSH−72、SH−61およびSH−62、SH−51およびSH −52などは光学的プラグ110のための開口部に最も近い最も内側のシャワー ヘッド注入ゾーンの孔318までの、関連するシャワーヘッド注入ゾーンの溝3 18のためのシャワーヘッド入口のハーフゾーンのペアを示す。図5に示された 特定の構造では、マルチゾーンのIPCソースのシャワーヘッドが、n個のゾー ン(nは1〜8の間で選択可能である)のシャワーヘッドとして作動できるよう に、ガス注入入口(SH−11/SH−12〜SH−81/SH−82)はガス マニホールドを使って外側を構成できる。頂部表面340に設けられたシャワー ヘッド入口の他に、ICPソース冷却材入口322およびICPソース冷却材出 口321も設けられている。ICPソース冷却材入口322は頂部誘電プレート 304の冷却材チャンネル320に冷却材(例えば冷却水)の流れを与え、冷却 材入口346および冷却材出口348は金属製真空プレート302内に埋め込ま れた冷却材チャンネルのための冷却材の流れを与えるようになっている。 図9において、金属製頂部プレート302は区分されたICPソースゾーン3 50、352および354(3ゾーンICPソース構造に対して示された例)に 関連する外部RFコンデンサ部品も頂部表面340に含む。これら外部RFコン デンサはRFコンデンサ356〜364を含む。図9の実施例ではRF電源RF1、 RF電源RF2およびRF電源RF3を含む3つの高周波回路は、外部コンデンサ 回路を介し、マルチゾーンICPソースコイルにRF電力信号を与える。特に、 RF電源RF1は外部RFコンデンサ回路350を介して外側のICPゾ ーンに接続し、RF電源RF2は外部電力RFコンデンサ回路352を介して中 間ICPゾーンに接続し、更に外部RFコンデンサ回路354はRF電源RF3 から内側のICPゾーンに電力を送る。 従って、図5〜9はこれまで述べた構造に類似する多数の特徴を有するハーメ チックシールされたマルチゾーンのICPソース300のための別の実施例を示 す。しかしながら図5〜9の別の構造は、ICPコイルセグメントまたは誘電ハ ウジングの形状を設定しない、完全に平面状のハーメチックシールされたマルチ ゾーンICPソースとなっている。この構造では、数種類の誘電材料のうちの1 つ、例えばアルミナまたは窒化アルミニウムから製造できる中間誘電プレート3 06は、図8に示された接合されたマルチゾーンコイル構造体を形成する、完全 に金属化された溝を含む。図8の実施例は8個のコイルセグメントを示している が、任意の数(例えば2個〜10個)のセグメントを使用することもできる。中 間誘電プレート306はガス注入のための底部ガス溝316も含む。底部溝31 6は金属製頂部プレート302のフィードスルーからの接合されたガス注入チュ ーブに接続する連続リングとして形成できる。 この構造では、8個のコイルセグメントを備えたハーメチックシールされたマ ルチゾーンICPソース300は16個のガス入口314を備えた8ゾーンのシ ャワーヘッド308を使用している。各シャワーヘッド308のゾーンはプロセ スの均一性を高めるための2つのガス注入入口314(例えばシャワーヘッドゾ ーン1に接続されたSH−11およびSH−12の入口)を有する。しかしなが らシャワーヘッド308のガス溝またはガス分散キャビティ316が注入プレー トの孔318を通過する軸対称のガス注入をするのに十分なガス流のコンダクタ ンスを与える限り、各シャワーヘッド308は1つのガス入口314を使用する だけでよい。単一ゾーンのシャワーヘッド(例えば低圧力表面調製/クリ−ニン グ用にICPが使用される場合)に対し、1つのガス入口だけでよい。これはガ ス入口SH−11/SH−12〜SH−81/SH−82の全てに接続するガス マニホールドを使用することによって行うことができる。しかしながらマルチゾ ーンガス入口構造は適当な外部配管を行い、ガスをマニホールドに通過させるこ とにより、単一ゾーンまたはマルチゾーン注入としてガス注入を実行するための フレキシビリティを与える。 図5〜9に示された構造では、頂部誘電プレート304内にマルチゾーンIC Pソース水冷チャンネルが含まれる。この頂部プレート304は全てのICPア ンテナの電気フィードスルーだけでなく、接合されたガス注入入口および水冷入 口/出口チューブも含む。マルチゾーンICPソース300の構造全体がステン レススチール製の真空プレートにハーメチック接合され、ICP誘電ハウジング プレート(3枚のプレート)が比較的熱伝導度の高い材料、例えば窒化アルミニ ウム(AIN)または窒化ホウ素(BN)から製造されている場合、頂部誘電プ レートから冷却チャンネルおよび関連する冷却フィードスルーを除いてもよい。 ステンレススチール製の水冷真空プレートを使ってICPハウジングを効果的に 冷却できるので、このような省略が可能である。金属製真空プレートと頂部誘電 プレートとの間の熱伝導性の、ハーメチックシールされた接合ジャンクション部 は、ICPアンテナから水冷真空プレートへの熱伝達を促進する。図9に示され ているように、このマルチゾーンICPソースをn個のゾーン(ここでn=1、 2、3、4またはそれ以上の数(この特定の構造では8個まで))のソースとし て、外側の形状を定めることができる。図9に示された構造は、別々に制御され る3つのRF電源を備えた3ゾーンのICP構造を示している。 外側のRFコンデンサ356〜364は、誘導されたリアクティブ(無効)電 圧を低減するので、この結果、チャンバとICPソース材料の容量結合およびそ れらのスパッタリングを無視できる。図9に示された構造では、8セグメントの ICPソースは3ゾーンプラズマソースとして外側が仕切られ、構成されている 。外側の3つのコイルセグメントは2つの外部RFコンデンサ356および35 8と共に(ゾーン1の電源RF1を使用する)外側のICPゾーン350を形成 する。3つの中間コイルセグメントが外部RFコンデンサ360および362の 別のペアと共にグループ分けされており、中間、すなわち第2ICPソースゾー ン352を形成し、RF電源RF2によって給電されるようになっている。内側 の2つのコイルセグメントは外部RFコンデンサ364を介して直列に接続され 、内側の、すなわち第3ICPゾーン354を形成する。この内側ゾーンは第3 RF電源(RF3)によって給電される。これら3つのRF(例えば13.56 MHzの電源は、プロセス制御を高め、かつ繰り返しできるようにするための移 相器/コントローラも使用できる。同じICPソース構造を使用し、他の外部配 線装置およびマルチゾーン区分化(2ゾーン、4ゾーンなど)を採用することも 可能である。 図10は、(ICPハウジングと真空チャンバの蓋との間に溶接された円筒形 の金属製延長部分を挿入することにより)真空プレートとICPハウジングとの 間の間隔を広くすることにより、プロセスチャンバの真空蓋、すなわちフランジ に対し、マルチゾーンICPソースハウジングを低下した(図5〜9に示された )第2マルチゾーンICP実施例の変形例の横断面図を示す。この変形された構 造は、特に基板チャックの上下走行レンジが限られているプラズマプロセスチャ ンバ構造においてICPプロセス処理能力レートを高めるよう、ICPソースと 基板との間隔をより小さい最小値にするものである。図11は、大処理能力のプ ラズマで補助された処理用の真空プロセスチャンバに取り付けられた図10の第 2マルチゾーンICPソース実施例の変形例の第2横断面図を示す。図11に示 されるように、リセス付きICPハウジングによってプロセス処理能力を高める ように、ICPソースと基板との間の間隔を狭くすることができる。 図12〜14は、本発明の更に別のハーメチックシールされたマルチゾーンI CPソース400の実施例を示す。この好ましい構造は基本的には図5〜9に示 された先の構造と同様であるが、3つの主な点で異なっている。図12は好まし くは熱接合または鑞付けを使って頂部誘電プレート404に取り付けられた金属 製頂部プレート402を含む別のハーメチックシールされたマルチゾーンICP ソース400の実施例を横断面図400で示している。頂部誘電プレート404 は中間誘電プレート406に取り付けられており、中間誘電プレート406はガ ス注入プレート408に接合されている。頂部真空プレート402はガス注入入 口414を受けるための多数のチャンネル412を含む。これらガス注入入口4 14は頂部誘電プレート404を貫通し、この頂部誘電プレート404に接合さ れ、中間誘電プレート406に進入し、ここでシャワーヘッドゾーンキャビティ 416に接合している。シャワーヘッドゾーンキャビティ416はシャワーヘッ ド注入孔418にプロセスガスを与えるようになっている。別のICPソース1 00を光学的プラグ110(または光学的ビューポート)が貫通しており、この プラグはハーメチック金属シールフランジ420を頂部真空プレート402に接 続している。中間セラミックプレート406はコイルセグメント424をそれら の側壁で支持する分散された冷却水チャンネル422を含む。これらコイルセグ メントは冷却材チャンネルをメッキすることによって形成してもよい。 図13は図12の別のICPソース400の別の横断面図を示す。図13が示 すように、冷却材入口および出口426により冷却材が中間誘電プレート406 に形成された冷却材チャンネル422を通ってICPソースハウジング400を 通過するように流れることができる。更に、電気接点リード線428によりマル チゾーンICPソース冷却セグメント424を外部コンデンサおよびRF電源に 電気的に接続することが可能となっている。 図14は、本実施例の中間誘電プレート406の構造をより詳細に示す。図1 4が示すように、RFコイルセグメント424は冷却水チャンネル422のライ ニングとなっている。これによりRFコイルセグメントを冷却するために、より 深い冷却材チャンネルを形成することが不要となっている。更に、底部誘電プレ ート408を介し、プロセスガスを均一に分配し、かつ注入するよう、中間誘電 プレート406にガス分散キャビティ416が形成されている。中間誘電プレー ト406の開口部426はプラズマプロセスの現場モニタリングおよび制御用の 光学的プラグ110を通過させることができるよう十分なサイズとなっている。 従って、図5〜9のハーメチックシールされたマルチゾーンICPソース30 0と図12〜14のマルチゾーンICPソース400との差異は、全体が満たさ れた金属化された溝と異なり、中間誘電プレート内に設けられたU字形の金属化 された溝422にある。この差の結果として得られる第2の差異として、マルチ ゾーンICPソース400が頂部誘電プレート404内に冷却溝を製造すること を不要にしていることが挙げられる。これは、トレンチ422が金属化された側 壁および底部表面を含み、コイルセグメント424を形成し、ICPソース冷却 をするために冷却材を流すことも可能となっているからである。この結果、IC Pソース構造および製造プロセスが簡略化される。図5のマルチゾーンICPソ ース300と同じように、スパッタリングプロセスと電気メッキ堆積プロセスの 一方またはそれらの組み合わせを使って、マルチゾーンICPソース400のた めにICPコイル金属化プロセスを実行できる。 金属製真空プレートまたはチャンバの真空フランジにICPソースをハーメチ ック接合する前に、浅いトレンチ422の側壁および底面をカバーする金属 ( AlまたはCu)の薄い(例えば10μm〜1000μm)層となるように、I CPコイルセグメントを形成する。これら金属化された領域は、中間誘電プレー ト406の頂部表面に割れたリングの形状に形成される。別の実施例では、頂部 誘電プレート304の底面にICPアンテナの金属化された割れたリングを形成 してもよい。ICPソース構造で更に冷却を行うように、真空プレートまたはフ ランジ402に冷却材チャンネルが埋め込まれている。 マルチゾーンICPソース400を用いると、シャワーヘッドプレート408 の頂部表面または中間誘電プレート406の底部表面のいずれかで、金属化され た浅い溝を使用することにより、シャワーヘッド408と中間誘電プレート40 6との間にICPコイルセグメント424を設置することも可能である。更に、 マルチゾーンICPソースの全組立体に対し、ハーメチック接合方法を使用し、 頂部真空プレート402内に埋め込まれた冷却チャンネルを設けることにより、 頂部金属製プレート402によりICPソースの冷却を行い、誘電冷却材溝42 2を省略することができる。これにより頂部誘電プレート404が不要となり、 ICPハウジングのスタック全体を2つの誘電プレートと金属製真空蓋402に 少なくすることができる。このような構造では、ガスシャワーヘッドプレート4 08上のガス分配トレンチ422の間にICPコイルセグメント424を設置で きる。更に、これらコイルセグメントを隣接する誘電プレート406にシャワー ヘッドプレート408をハーメチックシールするための接合構造の一部として使 用でき、誘電プレート406自身は金属製頂部プレート402にハーメチックシ ール/接合される。このような簡略化されたマルチゾーンICP構造は図15〜 21に示されている第4実施例である。 金属製頂部プレート402への渦電流RF損失を低減し、プラズマ環境へのR F結合効率を最大にするために、構造上の接合をする前に金属製頂部プレート4 02とマルチゾーンICPソース400のハウジングとの間に軟透磁性材料また は強磁性材料(例えばニッケル、鉄など)の一連のラジアルストリップを設けて もよい。このことは、電気メッキ技術を使って金属製頂部プレート402に高透 磁性材料を堆積することによって行うことができる。または、この方法の代わり に金属製頂部プレート402の真空側に浅いラジアル溝を形成し、マルチゾーン ICPソース400のハウジングを金属製頂部プレート402にシールするため のハーメチック接合プロセス前にこれら溝に高透磁性材料(鉄またはニッケルも しくはその他の強磁性材料)の薄いロッドを挿入してもよい。これら高透磁性材 料製のセグメントはICPコイルセグメント424上で磁界線を閉じるよう、ラ ジアル路を設け、この結果、内側のプラズマ環境に対するRF結合効率が改善さ れる。 従って、図15〜21は6つのコイルセグメントおよび6つのシャワーヘッド 注入ゾーンを備えたハーメチックシールされたICPソース500を示す。一般 に、本明細書に示された構造は、任意の数のコイルセグメント518および任意 の数のシャワーヘッド注入ゾーン516に使用できる。コイルセグメントと注入 ゾーンの数が同じまたは異なる種々の構造も可能である。 従って、図15〜21は頂部誘電プレート504に隣接する真空プレート50 2を含む本発明の更に別の実施例を示す。この誘電プレート504は変形された シャワーヘッドプレート506に隣接し、ICPソース500内に光学的プラグ 、すなわちビューポート110が嵌合し、このビューポートはビューポート金属 製フランジ508を使ってハーメチック金属シールによりシールされる。これに より、光学的プラグ110を通し、プラズマプロセスチャンバ内の基板に対する 光学的な観察アクセスが可能となっている。真空プレートまたはフランジ502 はマルチゾーンガス入口チューブ512を受けるための多数のチャンネル510 を含む。頂部誘電プレート504内のプロセスガス分配チャンネル514までに ガス入口チューブ512が延びており、プロセスガス注入孔516がプロセスガ ス分配チャンネル514に接続し、プロセスガスを変形シャワーヘッドプレート 506に通過させるようになっている。別のマルチゾーンICPソース500で は、変形されたシヤワーヘッドプレートまたは底部誘電プレート506に対し、 RFコイルセグメント518が一体的に形成されている。このような構造では、 真空 プレート、すなわちフランジ502内の埋め込まれた冷却材チャンネルによって 接合されたICP構造の有効な冷却が行われるので、RFセグメント518を更 に冷却することは不要となっている。 図15は、3枚の接合されたプレートから成るスタックを示す。頂部プレート は水冷のステンレススチール製真空プレート、すなわちフランジ(一般に200 mmウェーハ処理のために直径は35.56cm〜50.8cm(14インチ〜2 0インチ)(50.8cm(20インチ)の直径はCVCのユニバーサルなプラ ズマモジュール用である)となっている。頂部誘電プレートには図15の実施例 のガス入口512が接合されており、これら入口はマルチゾーンガス分散キャビ ティ514に面一にされ、かつ当接されている。12本のガス入口チューブ51 2に沿って6つのキャビティ514が示されている。 他方、図16は下方誘電プレート、すなわちシャワーヘッドプレート506上 のマルチゾーンICPコイルセグメント518に接続された12本の電気RFロ ッド520のうちの6本を示す。これらRFコネクタロッドは上部誘電プレート の内部で熱接合および/または焼き嵌め組み立てされている。 電気ロッド520は上部誘電プレート504の底部表面に対して面一とされ、 その後、図1に示されたアンテナコイルセグメント上の電気的ターミナルに溶着 または接合される。底部および頂部誘電プレートはエッジ領域周りの連続リング 上のみならず、隣接するコイルセグメントのペアの間に形成された円形の接着さ れた接合部(連続するリング)上でも共に接合されている。これにより、頂部誘 電プレート504と底部誘電プレート506との間の優れた熱接触も保証される 。コイルセグメントは熱接触を改善するために更に接合表面積を増すことができ る。 図17は、マルチゾーンICPソース500の真空プレート502の平面図で ある。特に真空プレート502の平面図はICPソース500のための冷却水( または任意の冷却材)を受ける真空プレート冷却材入口522および524を含 む。冷却水出口526および528により、冷却水をマルチゾーンICPソース 500から出し、有効に熱を除去することが可能となっている。チャンネル51 4内にはガス入口チューブ512が示されている。先に説明した実施例と同じよ うに、SH−61およびSH−62のガス入口はシャワーヘッド506の最も 外側のガスリングに対応する。ガス入口512に関連する、より大きい数のSH は、シャワーヘッドプレート506のより内側の注入リングに対応する。真空プ レート502の頂部表面はICPアンテナのRFコイルセグメント518および シャワーヘッドプレート506に接続するための電気フィードスルー530も含 む。図17の実施例では、電気フィードバック530は、シャワーヘッドプレー ト506に形成された6つのRFコイルセグメントに接続する6つのアンテナコ イルセグメントのための12個のターミナルを含む。 図15〜21に示された接合されたモノリシック構造では、水冷真空プレート 502はマルチゾーンICPソース500全体およびそれに関連する誘電ハウジ ングを有効に冷却する。ICPハウジング全体は金属製真空プレートに接合して いるので、このような有効な冷却が可能である。構造上の接合により、隣接する 誘電プレート504と506との間だけでなく、頂部誘電プレート504と水冷 真空プレート502との間の熱抵抗も最小になっている。更に、マルチゾーンI CP誘電ハウジング材料は120W/m−kの大きさの、比較的高い熱伝導性を 有する窒化アルミニウム(AlN)から製造できる。図17によれば、金属製( ステンレススチール製)の真空プレート、すなわちフランジによって種々のマル チゾーンICPソースフィードスルーに対する多数の貫通孔が提供される。 図18は図13の実施例の真空プレート502の底面図を示す。特に真空プレ ート502の底面図は、貫通チャンネル514から開始するガス入口512を示 すだけでなく、真空プレート502を貫通する電気フィードスルー530も示し ている。更に、真空プレート502の底面図は高透磁性材料から製造された埋め 込まれたラジアル強磁性ロッド532を示す。例えば一実施例では、埋め込まれ たラジアルロッド532は鉄またはニッケルもしくは渦電流損失の少ない、より 適当な強磁性材料から製造された、長さが20cm(8インチ)、幅が1.27 cm(0.5インチ)、厚みが0.635cm(0.25インチ)のロッドとする ことができ、これらロッドは真空プレート502の底部表面に設けられた同じサ イズの溝に挿入される。図18では真空プレート502に10本のラジアルロッ ド532が設けられている。 図18が示すラジアルロッド532は真空プレート502内の溝に埋め込まれ ており、これらロッドは磁性材料、例えば高透磁性鉄から製造でき、真空プレー トより下方で磁界線を閉じるラジアル路を提供する。この結果、金属製真空プレ ートへの渦電流損失が低減し、プラズマ環境へのマルチゾーンICPソース50 0のRF電力結合効率全体が改善される。必要であれば、ラジアルロッド532 を真空プレート502上に設けられた鉄またはニッケル製のブランケットメッキ 層と置換してもよい(この場合、ロッドと溝は不要となる)。 本明細書に示された特定の構造では、真空プレートはシャワーヘッドガス入口 チューブに対し12個の孔(SH−11、SH−12、SH−21、SH−22 、SH−31、SH−32、...)およびマルチゾーンICP電気接続部のた めの12個の孔の別の組を有する。更に、光学的ビューポイントを挿入するため に使用される中心孔(直径が1.27cm〜3.81cm(0.5インチ〜1.5イ ンチ))が設けられている。この金属製真空プレート(直径が35.56cm〜 50.8cm(14インチ〜20インチ))は、真空機械的強度を与えるために 0.635cm〜1.27cm(0.25インチ〜0.50インチ))の厚みを有す る。 図19は、図15の実施例の変形されたシャワーヘッドプレート506を示す 。この変形シャワーヘッドアセンブリ506は、誘電材料、例えば窒化アルミニ ウム、酸化アルミニウム、窒化ホウ素または他の適当な材料から形成され、注入 孔516およびICPコイルセグメント518を含む。ICPシャワーヘッドプ レート506の頂部部分には6つのモノリシックコイルセグメントとしてICP コイルセグメント518が接合されている。シャワーヘッド注入孔516は孔の 6つのリングを含み、各孔の直径は0.508mm〜1.524mm(0.02イ ンチ〜0.06インチ)の範囲内にあり、各注入ゾーン1〜6に対する孔の総数 は50〜500個の範囲である(外側リングはより多数の孔を有する)。中心孔 534は光学的プラグ110を貫通できるように0.635cm〜2.54cm( 0.25インチ〜1.0インチ)の直径を有する。ICPコイルセグメント518 は変形されたシャワーヘッド506の溝536内に嵌合しており、これら溝53 6の深さは0.0254mm〜2.54mm(0.001〜0.1インチ)であり、 その幅は0.635cm〜1.17cm(0.25〜0.5インチ)である。 図20は変形シャワーヘッドプレート506の平面図を示す。図20の実施例 では、変形シャワーヘッド506は基板の溝536を満たす6つのモノリシック コイルセグメント518を含み(図19参照)、外部RFコンデンサ回路への外 部RF接続のためのターミナル538および540を含む。図20が示すシャワ ーヘッド506の実施例は種々の基板をプラズマ処理するためのプロセスチャン バにプロセスガスを注入するための注入孔516を含む。 底部誘電プレート506は2つの目的のために働く。 1つの目的は、マルチゾーンプロセスガスを注入することであり、他方の目的 は、(2枚の誘電プレートの間に挟持すべき、予め形成される金属セグメントに よって形成されるか、または誘電プレート506をスパッタリングおよび/また はメッキすることによって形成される)コイルセグメント518を収容すること である。コイルセグメント518は割れたリング状となっている浅い溝(0.0 254mm〜2.54mm(0.001インチ〜0.100インチ))を満たす。 誘電プレート506の表面は、例えば浅いトレンチを金属で満たした後に金属研 磨方法を用いて平坦化される。 図21は、図15の実施例の頂部誘電プレート504の底面図を示す。頂部誘 電プレート504にはガス分散キャビティ514にプラズマプロセスガスを提供 するためのステンレススチール製チューブ512が取り付けられている。これら ステンレススチール製チューブ512はガス分散キャビティ514を通してマル チゾーンガス注入をするための接合されたステンレススチール製チューブであり 、2本のチューブが各ガス分散キャビティリングに対し180度離間されている 。アルミニウム製接合ロッド520はコイルセグメント518を外部RFコンデ ンサおよびRF電源に電気接続するための6対を形成している。図21は、光学 的プラグ110のを嵌合するための開口部534も示す。本発明の先のマルチゾ ーンICPソース実施例と比較し、この最後に述べた構造は、構造が簡略なこと 、および製造コストが低いことにより、所定の利点を有する。 ガス分散キャビティ514はリング状のキャビティ(幅0.635cm〜1.2 7cm(0.25インチ〜0.50インチ)×深さ0.635cm〜1.27cm( 0.25インチ〜0.50インチ))に形成されており、これらキャビティは底部 誘電プレート内のマルチゾーン注入孔516に重なる。図21にはガス分散キ ャビティ内に位置するガス入口チューブ端520も示されている(6ゾーンイン ジェクトに対しては12本のチューブが示されている)。入口チューブの各対は 単一ガス制御マニホールドおよびバルブに外側で共に接続されており、制御され た6ゾーン(またはnゾーン、ここでn=1、2、3、....)のプロセスガ スインジェクタを形成する。 誘電プレート504および変形シャワーヘッド506を形成するように良好に 働く材料は多数存在する。しかしながら本実施例については所定の材料が特別に 好ましい。これら材料として窒化ホウ素、窒化アルミニウムおよび酸化アルミニ ウムが挙げられる。これら材料(例えばA1NおよびBN)が好ましい理由につ いて簡単に述べ、これら材料の利点について説明する。 窒化ホウ素(BN)は炭素に類似する物理的および化学的性質を備えた、極め て耐火性の高い材料である。グラファイト状窒化ホウ素(g−BN)、ウルツ鉱 型構造窒化ホウ素(w−BN)および閃亜鉛鉱型構造窒化ホウ素(z−BN)は グラファイト(六方晶)およびダイヤモンド(立方晶)に対応するBNの公知の 同質多形体である。比較的低温(230℃)にて12GPaよりも高い温度で、 g−BNからw−BNへの変態が生じる。1300℃以上の温度で、かつ5.5 GPaよりも高い温度で、w−BNからz−BNへの変態が生じる。閃亜鉛鉱型 構造窒化ホウ素(z−BN)は5.5GPaよりも高い温度で1100℃〜15 00℃の温度で安定である。 すべての形態のBNは数電子ボルト(eV)のバンドギャップを有する良好な 電気的絶縁体であり六方晶の電気抵抗は25℃における1.7×1013オームc mから1000℃における3×104オームcmに変化し、周波数の影響をほと んど受けない。六方晶BNの誘電率は、オーサル平面に電気ベクトルが平行な場 合は3であり、平面に垂直な場合は5である。短い原子間距離および軽い原子重 量と一致して、すべての形態のBNは極めて良好な熱伝導体である。窒化ホウ素 はほとんどの環境内で化学的不活性であり、鉱物酸による侵食に耐え、ガラス、 スラグおよび溶融酸化物、クリオライトおよび溶融塩、およびアルミニウムを含 むほとんどの溶融金属により湿潤状態を保つ。空気中における酸化レートは11 00℃より低い温度では無視できる。 六方晶窒化ホウ素は微細粉体として一般に合成される。これら粉体は結晶サイ ズ、凝集物サイズ、残留B23のパーセントを含む純度および密度が変わってい る。BN粉体は離型剤、高温潤滑剤、誘電化合物の熱コンダクタンスを改善する ためにオイル、ゴムおよびエポキシに添加される添加剤として使用できる。これ ら粉体は熱衝撃を改善し、湿潤特性を変えるために、金属マトリックスおよびセ ラミックマトリックス複合体内でも使用される。 六方晶窒化ホウ素は理論値(2.25g/cm3)の密度90−95%を有する 軟質(モー2)および容易に機械加工可能な白色またはアイボリー色のビレット となるように熱間プレス加工できる。密度、プレス方向に対する配向および酸化 ホウ素のバインダ相の量に応じて17〜58W/m−Kの熱コンダクティビティ および0.4〜5×10-6/℃のCTEが得られる。熱間プレス加工された窒化 ホウ素はその多孔度および比較的低い弾性率(50〜75GPa)により顕著な 耐熱衝撃性およびかなりの靭性を有する。加熱された基板上に化学的気相法によ って形成された熱分解窒化ホウ素も六方晶であり、薄い横断面を有する被膜およ び形状とするのに、このプロセスか使用される。 立方晶窒化ホウ素はダイヤモンドに次ぐ第2の硬度を有する。この材料は高性 能の工具ビットおよび特殊な研磨用途に使用される。立方晶BN製工具はアルミ ナおよびカーバイド製工具よりも一般に長くもち、ダイヤモンドが適当でない用 途、例えばフェラスメタルの研削に好ましい。窒化アルミニウム(AlN)は4 0.99の分子重量、3.26g/cm3の密度、4.6×10-6/℃のCTEおよ びN2の4気圧下の2200℃の溶融点を有し、1気圧で昇華する。AlNは白 色の六方晶構造であり、その粉体は水または水蒸気と接触して加水分解する。水 性処理を可能にする耐水性粉体は市販されている。AlNは酸に対して安定であ り、塩基と若干反応するにすぎない。このAlNはアルミニウム金属と窒素とを 反応させるか、窒素またはアンモニアの存在下で炭素により酸化アルミニウムを 還元するか、または四塩化アルミニウムとアンモニアとの間の反応生成物を分解 することによって製造される。AlN粉体は焼結用酸、例えばY23またはCa Oを添加することにより、N2の1気圧で1800℃よりも高い温度で、フル密 度に焼結できる。焼結された部品では200W/mKを超える熱伝導率が得 られる。この熱伝導率は酸化アルミニウムの5倍である。AlNの誘電強度は酸 化アルミニウムの1.5倍であり、電気抵抗率および機械的強度は酸化アルミニ ウムのそれらに匹敵する。AlNの誘電率は酸化アルミニウムの約半分である。 主な用途として、半導体、自動車および交通電カモジュール、移動通信およびマ ルチチップモジュール用の熱伝導性基板およびヒートシンクが挙げられる。次の 表にAlNの他の性質を示す。 窒素アルミニウムの性質 単位 AIN 体積抵抗率 オームcm ≧1014 誘電率 RT−1MHz 8.6 誘電損失 RT−1MHz 0.0005 熱伝導率 W/mK 170 膨張温度係数RT−400℃ 10-6/℃ 4.7 密度 g/cm3 3.3 ヌープ硬度 GPa 11.8 曲げ強度 MPa 276 ヤング率 GPa 331 ポアソン比 0.25 シェブロンノッチショートバー 技術を使った破砕靭性 MPa√m 3.44 図22は、本発明のマルチゾーンICP構造のうちの1つを含むプラズマ装置 のプロセスチャンバ600の略図を示す。図22に示された特定の実施例はIC Pソース構造体用の3つのRF電源と組み合わされた3ゾーン構造を示す。マル チゾーンICPソース構造601はICPアンテナコイルおよびガスシャワーヘ ッド分散キャビティ(図示せず)を含む(例えば比較的高い熱伝導率を有するセ ラミック材料から製造された)誘電プレートのハーメチック接合された構造体を 使用している。ICPハウジング601は金属製真空プレート、すなわちフラン ジ603にもハーメチック接合およびシールされている。この真空プレート、す なわちフランジ603はプラズマ装置のプロセスチャンバ605に載せられ、真 空シール622を使用してチャンバの真空を確保している。この構造によりプロ セスチャンバ605の真空側620にマルチゾーンICPハウジングが載ってい る。ICPソース601はプラズマプロセス側620および/または基板607 の状態パラメータをモニタリングすることにより、リアルタイムの現場プロセス モニタリングおよび制御をするための真空シールされた光学的(例えばサファイ アまたは石英製の)プラグ、すなわちビューポート604を提供している。例え ば図22は、基板607上のプラズマプロセスの均一性をリアルタイムで現場モ ニタリングおよび制御するための光学的プラグ604の頂部に取り付けられたフ ルウェーハの干渉計センサ617を示している。プロセスモニタリングおよびプ ロセス制御のために他の現場センサ、例えばプラズマ放出センサおよび空間改造 プラズマ放出センサも使用できる。 処理すべき基板607を支持するチャック606上にマルチゾーンICPソー ス601が位置決めされる。好ましくはチャック606は、プラズマプロセス中 に加熱および/または冷却を制御することにより基板607の温度を制御する。 静電手段または機械式手段のいずれかにより温度制御されたチャック606に基 板607をクランプすることが好ましい。更にチャック606はICPソースハ ウジング601に対し上下動および高さ調節するための能力を有することが好ま しい。このような能力により、十分なプロセスの処理能力を維持しながらプラズ マのプロセスパラメータ、例えばプロセスの均一性を最適にするよう、ICPソ ースと基板との間の間隔を調節するための極めて有効な能力が得られる。例えば 、ICPソースと基板との間の間隔を減少すると、その結果、ウェーハにおける プラズマ密度およびイオン電流密度が増し、プラズマプロセスレートが高められ る。一方、ICPソースと基板との間隔が過度に狭くなるとプロセスの均一性が 劣化し、プラズマによって誘導されたデバイスの損傷および基板607の過度の 渦電流による加熱に関連した更に別の問題も生じ得る。 プラズマプロセスチャンバは真空プロセスチャンバ605に基板607を自動 的に装填したり、これから基板607を取り出すための真空チャンバアクセスバ ルブを構成している。更に、プラズマプロセスチャンバ605はポンプポート6 29を介し、真空ポンプ(例えばターボポンプおよび/または機械ポンプ)に接 続している。 チャック606は電源614および結合コンデンサ615を介し、基板607 に電気バイアスを加えるためのオプション(例えば13.56MHzのRFまた は10KHz〜400KHzのAC電源)を提供することが好ましい。この電気 バイアスは基板607に衝突するプラズマイオンエネルギーを良好に制御する。 図22は、RF電源RF1(608)、RF2(609)およびRF3(610 )によってそれぞれ給電される外側ゾーン、中間ゾーンおよび内側ゾーンを備え た3ゾーンICP構造を示す。この図が示すように、好ましくは直列ブロッキン グコンデンサ630、631および632を介し、ICPアンテナゾーンにRF 電源が接続されている。更に、各ゾーン(外側ゾーン、中間ゾーンおよび内側ゾ ーン)において、外部セグメント間直列コンデンサC1(611)、C2(612 )およびC3(613)が直列にアンテナセグメントに接続している。これら直 列コンデンサはエネルギーソースからプラズマ媒体への誘導プラズマ結合を改善 し、寄生容量結合を低減するように種々のゾーンにおいて誘導されるRF電圧を 小さくすることを保証している。マルチゾーンICP601RF電源(608、 609、610)は、広いレンジ(例えば1MHz〜30MHz)にわたるソー ス周波数、好ましくは固定された13.56MHzの周波数を使用できる。 更に、これらマルチゾーン電源は負荷マッチング、RF電力カップリングおよび プラズマプロセスの繰り返し性を改善するよう、RF電源とICPアンテンとの 間に設置される外部RFマッチングネットワーク(図22には示されず)を使用 できる。RF電源はプロセスの均一性および繰り返し性を改善するよう、種々の RF電源の間の位相角を制御するために移相器616も使用できる。 電源が異なる周波数を使用する場合、移相器616は不要となる(例えば基板 バイアスに対し100KHzの電源を使用し、マルチゾーンのICPアンテナに 給電するのに3つの13.56MHzのRF電源を使用する場合、基板の電源と マルチゾーンICP電源との間の移相器は不要である。しかしながらICPRF 電源はRF1(608)、RF2(609)およびRF3(610)に対する位相 角を制御するのに移相器/コントローラを使用できる。 図22は金属製真空蓋を通過するように冷却材(例えば冷却水)を流すための 冷却材入口633および冷却材出口634ラインも示す。(頂部誘電プレートと 金属製真空蓋との間の)熱伝導性の接合され、シールされたインターフェース6 40および(下部誘電プレート、すなわちセラミックプレートと上部誘電プレー ト、すなわちセラミックプレートとの間の)熱伝導性の性され、シールされたイ ンターフェース641を備えた、ICPソースのハーメチックシールされ、接合 された構造体により、冷却されている金属製真空プレート/蓋はICPハウジン グのための有効な熱除去またはヒートシンク媒体としても働く。これによりアン テナセグメントを通して作動される最大RFパワーレベルによるICPハウジン グの温度が100℃よりも低く留まることが保証される。 図22に示されるように、ガスマニホールド618および619を介し、IC Pシャワーヘッドプレート602にICPプロセスガス634が送られる。IC Pガスラインの外部マニホールドは特定のプラズマプロセスの均一性の条件およ び欠陥密度条件を満たすように設計できる。単一ゾーンガス注入またはマルチゾ ーンガス注入(図22には2つのガスマニホールドを使用した2ゾーン注入が示 されている)のいずれかを行うように、ガス注入システムを設定できる。 図23は、本発明のマルチゾーンICPソース構造体と共に使用する2マニホ ールドガス注入構造700の一例を示す。図23は、マルチゾーンICPソース のためのガス入口ライン703の6対を示す。図23の略図は、ICP装置ガス ボックスからの6つの異なるプロセスガス704および705も示している。マ ルチゾーンICPソース分散キャビティはシャワーヘッド内の孔の各ガス注入リ ングに対応するガス分散キャビティごとに一対の入口を使用している。例えばS H−61およびSH−62の双方はマルチゾーンICPソース構造体内の6番目 (または最も外側)のガス分散キャビティに接続しているが、入口ラインSH− 11およびSH−12の双方は第1(または最も内側)のガス分散キャビティに 接続している。図23の例に示されるように、プロセスガスA、BおよびC(7 05)は第1ガスマニホールド701を介し、共に混合され、その後、シャワー ヘッド注入リング2、4および6に注入される。他方、プロセスガスD、Eおよ びF(704)は第2ガスマニホールド702を使って共に混合され、その後、 シャワーヘッド注入リング1、3および5(SH−11/SH−12、SH−3 1/SH−32およびSH−51/SH−53の入口)を介し、プラズマプロセ スチャンバに注入される。このような配置により、マルチゾーンICPインジェ クタはプロセスガスの第1グループ705と、プロセスガスの第2グループ70 4とのプリ混合が防止される2ゾーンシャワーヘッドとして有効に構成される。 本発明のマルチゾーンICP構造に対し、上記以外の別のガス接続構造およびゾ ーン区分配置も可能であると理解すべきである。 図24Aおよび24Bは6つのアンテナセグメントを備えた本発明の一実施例 のための3ゾーンICP混合装置および2ゾーンICP混合装置をそれぞれ示す 。図24Aに示されるように、直列、すなわちブロッキングコンデンサ814を 介し、基板にバイアスを加えるのにRF電源801が使用されている。更にIC Pアンテナセグメント(本例では6つのセグメント)は、3つのICPゾーン( エッジゾーン811、中間ゾーン812および中心ゾーン813)を形成するよ うに外部が区分され、配線されている。エッジゾーン811では外側の2つのア ンテナセグメント(コネクタノードC11/C12およびC21/C22)は、これら2 つのセグメントをブリッジ接続するために直列コンデンサ808を使用している 。第1RF1電源803は直列ブロッキングコンデンサ814を介し、C11およ びC22に接続している。中間ゾーン812はノードC32とC41の間に設置された 直列コンデンサ809を使って第3アンテナセグメントおよび第4アンテナセグ メントをブリッジ接続することによって形成されている。直列ブロッキングコン デンサ816を介し、中間ゾーン812に第2RF2電源805が給電を行って いる。内側ゾーン813はノードC52とC61との間に設置された直列コンデンサ 810を使って第5アンテナセグメントと第6アンテナセグメントとを組み合わ せることによって構成されており、直列ブロッキングコンデンサ817を介し、 内側ゾーンに第3RF3電源807が給電を行っている。プロセスの均一性およ び繰り返し性を得るように、種々のRF電源の相対的位相角を制御するように、 3つの移相器 /コントローラ802、804、806を使用できる。所定のマルチゾーンIC Pソース構造において、所定の数のゾーンに対し種々のタイプのICPアンテナ セグメント区分を使用できる。図24Aに示された例では、各アンテナゾーンが 2つの隣接するアンテナセグメントを受けている。最適な区分構造はプラズマプ ロセスの均一性を最良に制御できるものでなければならない。 図24Bは第1アンテナセグメントと第2アンテナセグメントとをグループ分 けすることにより外側ゾーンを形成し、第3〜第6アンテナセグメントをグルー プ分けすることにより内側ゾーンを形成した、2ゾーンICP装置を示す。外側 ゾーンは直列ブロッキングコンデンサ913を介し、ノードC11およびC22に接 続された第IRF電源905と共に、ノードC12とC21との間に直列コンデンサ を使用している。内側ゾーンは(ノードC32とC41との間に)直列コンデンサ9 08を利用しており、(ノードC42とC51との間に)直列コンデンサ909を利 用しており、(ノードC52とC61との間に)直列コンデンサ910を利用してい る。第2RF2電源906は直列ブロッキングコンデンサ914を介し、内側ゾ ーンのノードC31およびC62に接続している。基板のRFバイアスを発生するよ うに、直列ブロッキングコンデンサ902を介し、プラズマ装置のチャックにR F電源901が接続している。種々のRF電源の相対的位相を制御するように、 移相器/コントローラ903および904を使用してもよい。 本発明のマルチゾーンICP構造および方法の重要な1つの利点として、任意 の所定のソース構造に対し、ソースの外部の電気配線を変えるだけで種々のマル チゾーン配線装置およびアンテナセグメント区分構造が可能となることが挙げら れる。従って、本発明は最も広い可能なプラズマプロセスウィンドおよび最良の プロセスの均一性を設定するよう、マルチゾーンICPソースのゾーン化および 区分化を最適にするためのかなりの大きさのフレキシビリティを与えるものであ る。更に本発明のマルチゾーンICPソース構造体および方法は、300mmの シリコンウェーハおよび大面積のフラットパネルディスプレイ基板のような、よ り大きな基板を均一に処理できるように大型化が可能である。 本発明のマルチゾーンICPソース構造体を製造するのに好ましく使用される 、ハーメチックシール製造方法の結果、極めて高い真空の完全性、超高真空(U H V)適合性が得られ、ウルトラクリーン度のプラズマ処理が可能となる。例えば 本発明のマルチゾーンICPソース構造体は、5×10-9トールもの低い真空ベ ース圧力と適合性があり、より良好である。 所定のマルチゾーンICPソース構造および特定のプロセス用途では、種々の 外部配線構造により一連の設計−実験(DOE)を行うことにより、アンテナゾ ーンの間の最適なゾーン化およびアンテナセグメントの区分化(すなわちグルー プ分け)を行うことができる。RF周波数に応じ、種々のゾーン内でアンテナの RF電圧を最小にするように、マルチゾーンのICP直列コンデンサを選択する 。 ほとんどのプラズマプロセスの実用的な応用例では、本発明のマルチゾーンI CP構造は2ゾーンまたは3ゾーン構造(例えば200mmまでのウェーハ処理 には2ゾーン構造)を使用することにより、プロセス条件を満たすことができる 。ゾーンの数をより多くすれば、より大きな基板(例えば300mmのシリコン ウェーハ)を処理できるという利点が得られる。プロセスの均一性および繰り返 し性を制御するように、適当なセンサ(例えばフルウェーハの干渉計センサ)と 共にマルチ変数のリアルタイムコントローラを使用できる。 本発明のマルチゾーンICP構造は(種々の実施例に対し記載され、図示され ているように)、ICPアンテナセグメントに対し、直線状に整列された電気接 続フィードスルーを利用できるか、またはスタガー状でない構造における直線状 に整列されたセグメントリングの割れ部に関連して生じ得るプラズマプロセスの 不均一性を防止するように、スタガー状の電気的フィードスルーを利用できる。 例えば8個の円形アンテナセグメントを備えたマルチゾーンICP構造では、あ るタイプの構造は、ICPハウジングの中心領域との間に延びる2本の直線状( ほぼ径方向)のラインに沿ってラインアップされた8対の電気フィードスルーコ ネクタリード線を利用できる。この構造ではソースの直接下方であって(かつソ ースの極めて近くで)2本のセグメントフィードスルーラインの間で、所定のプ ラズマ密度の不均一性を得ることができる。割れた各アンテナセグメントリング を通る電流の遮断および非スタガー状の、すなわち直線状に整列されたフィード スルーの累積的な不均一効果に起因し、このような可能な不均一性を発生できる 。他方、螺旋パターンのフィードスルーの完全に360度の平面状のスタガー 配置を利用するように、隣接するセグメントの各対に対し、例えば40度〜45 度に8つの割れたリングアンテンナセグメントに対し、8対のフィードスルーを スタガー状に配置できる。これにより、種々のアンテナセグメントの割れ部およ び関連するフィードスルーの生じ得る累積的な不均一性の作用を除くことができ る。従って、非スタガー状のフィードスルーパターンと比較したスタガー状の電 気フィードスルー(例えばスタガー状の螺旋フィードスルーパターン)の場合、 許容可能なプラズマプロセスの均一性に対するICPのソース一基板間の間隔の 許容可能な最小値はより小さくなる。 本発明の種々のICP実施例におけるマルチゾーンアンテナセグメントに対す るガス分散キャビティの近接度により、ガス分散キャビティ内でプラズマが形成 される可能性がある。このようなガス分散キャビティ内でプラズマが形成される 可能性は、種々の手段および技術によって防止できる。1つの方法は、適当なセ ラミックファイバーまたはセラミック粉体(例えば制御された球状のセラミック 粒形を備えた粉体)でガス分散キャビティを満たす方法が挙げられる。このよう なガス分散キャビティをセラミック粉体またはセラミック充填材で満たすことは 、マルチゾーンICPソース構造体の最終組み立ておよびハーメチック接合前に 行うことができる。 本発明のマルチゾーンICPソース構造体はICPアンテナゾーンの各々に対 し、別個のRF電源および専用のマッチングネットワーク(例えば3ゾーンIC Pソース配線装置に対しては3つのRF電源と3つのRFマッチングネットワー ク)を使用できる。しかしながら、別の方法として各ゾーンに関連する有効な負 荷インピーダンスおよび電流を調節するように、ゾーンの各々と直列に接続され た固定コンデンサまたは可変コンデンサのいずれかと並列にゾーンを電気的に配 線する方法が挙げられる。 別の可能性として、単一のRF電源および単一のRFマッチングネットワーク (RFマッチングネットワークは必要でない場合もある)に取り付けられた単一 のトランスの一次コイルと共に、種々のゾーンに対し調節自在な(サーバーまた はステップモータにより機械式に調節自在な)トランス結合を使用する方法が挙 げられる。これらの可能性のある装置により、単一のRF電源でマルチゾーンの ICPプラズマの均一性を有効にリアルタイムで制御することが可能となり、こ の結果、システムのコストおよび複雑さを低減できる。図25Aおよび25Bは 、単一RF電源を使用して3ゾーンのICP動作および制御を可能にする2電源 配線装置の例を示す。図25Aは並列容量配線装置を示しているが、一方、図2 5Bはマルチゾーン動作を可能にする調節自在なトランス結合構造を示している 。リアルタイムのマルチ変数コントローラが可変コンデンサバルブまたはトラン ス結合比の大きさを制御するステップモータまたはサーボモータに対し、制御信 号を発生する。例えばマルチ変数のリアルタイムコントローラは、リアルタイム のマルチゾーンの均一性制御を行うためにマルチゾーントランス結合比M1、M2 、M3を調節する。 以上で、図示した実施例を参照し、本発明について説明したが、この説明は単 に例にすぎず、限定的に解釈すべきものではないと理解すべきである。従って、 当業者がこの説明を読めば、本発明の実施例の細部および本発明の別の実施例の 細部を種々に変更することは明らかであり、容易に行うことができると更に理解 すべきである。かかる変更例および別の実施例のすべては、次の請求範囲に記載 の本発明の精神および真の範囲内に入るものである。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/3065 H01L 21/302 B

Claims (1)

  1. 【特許請求の範囲】 1.プラズマ媒体を発生するための誘導結合された、マルチゾーンプラズマソ ースにおいて、 前記プラズマ媒体に高周波電力を実質的に誘導結合するための第1アンテナ構 造体と、 前記プラズマ媒体に別の高周波電力を誘導結合するための少なくとも1つの増 設アンテナ構造体とを備え、前記第1アンテナ構造体と前記少なくとも1つの増 設アンテナ構造体とが共に作動され、低圧力プラズマ処理装置におかれた少なく とも1つの基板上で実質的に均一なプラズマ処理を提供するようになっている、 誘導結合されたマルチゾーンプラズマソース。 2.前記アンテナ構造体に電力を供給するように作動する複数の高周波電源と 、 実質的に均一なプラズマ処理を提供するために複数の高周波電源を制御するよ うに作動できるリアルタイムのマルチ変数コントローラとを更に備えた、請求項 1記載の、誘導結合されたプラズマソース。 3.前記アンテナ構造体に電力を供給するように作動する複数の高周波電源と 、 実質的に均一なプラズマ処理を提供するために複数の高周波電源を制御するよ うに作動できる、予め指定された給電比を有するスケーラーコントローラとを更 に備えた、請求項1記載の、誘導結合されたプラズマソース。 4.前記第1アンテナ構造体が導電性材料製の少なくとも1つのアンテナセグ メントを含む、請求項1記載の、誘導結合されたプラズマソース。 5.前記第1アンテナ構造体が導電性材料製の少なくとも2つのアンテナセグ メントを含み、更に前記少なくとも2つのアンテナセグメントが少なくとも1つ の直列コンデンサを介し、直列に接続されている、請求項1記載の、誘導結合さ れたプラズマソース。 6.前記少なくとも1つの直列コンデンサが前記第1アンテナ構造体の両端で 誘導される高周波電圧を低減するように作動される、請求項5記載の、誘導結合 されたプラズマソース。 7.前記第1アンテナセグメントが実質的に円形の割れたリング状となってい る、請求項6記載の、誘導結合されたプラズマソース。 8.前記少なくとも1つの増設アンテナ構造体が導電性材料製の少なくとも1 つの増設アンテナセグメントを含む、請求項1記載の、誘導結合されたプラズマ ソース。 9.前記少なくとも1つの増設アンテナ構造体が導電性材料製の少なくとも2 つのアンテナセグメントを含み、更に前記少なくとも2つのアンテナセグメント が少なくとも1つの直列コンデンサを介して直列に接続されている、請求項1記 載の、誘導結合されたプラズマソース。 10.前記少なくとも1つの直列コンデンサが前記少なくとも1つの増設アン テナ構造体の両端で誘導される高周波電圧を低減するように作動される、請求項 9記載の、誘導結合されたプラズマソース。 11.前記少なくとも1つの増設アンテナセグメントの各々の形状が実質的に 円形の割れたリング状となっている、請求項4記載の、誘導結合されたプラズマ ソース。 12.前記高周波電力および前記別の高周波電力が単一の高周波電源によって 供給される、請求項1記載の、誘導結合されたプラズマソース。 13.前記単一の高周波電源に並列に前記アンテナ構造体を接続するように作 動できる、複数の調節自在なコンデンサを更に含む、請求項12記載の、誘導結 合されたプラズマソース。 14.前記高周波電源が、前記アンテナ構造体に対する高周波電力結合効率を 最大にするように作動できる高周波マッチングネットワークを更に含む、請求項 12記載の、誘導結合されたプラズマソース。 15.単一の高周波電源に結合するように作動できる調節自在なトランス結合 デバイスを更に含み、前記少なくとも1つの基板上で実質的に均一なプラズマ処 理を提供するように、マルチ変数コントローラによって前記調節自在なトランス 結合デバイスの結合パラメータを調節する、請求項12記載の、誘導結合された プラズマソース。 16.前記高周波電源が、前記アンテナ構造体に対する高周波電力結合効率を 最大にするように作動できる高周波マッチングネットワークを更に含む、請求項 15記載の、誘導結合されたプラズマソース。 17.前記第1アンテナ構造体および前記少なくとも1つの増設アンテナ構造 体が、前記プラズマ媒体の異なる領域におけるプラズマ密度の値を制御する機能 を提供する、請求項1記載の、誘導結合されたプラズマソース。 18.前記少なくとも1つの基板上で実質的に均一なプラズマ処理を行うよう に、予め指定された値に従って前記調節自在なコンデンサを設定する、請求項1 3記載の、誘導結合されたプラズマソース。 19.前記少なくとも1つの基板上で実質的に均一なプラズマ処理を行うよう に、マルチ変数コントローラによって前記調節自在なコンデンサを調節する、請 求項13記載の、誘導結合されたプラズマソース。 20.前記少なくとも1つの基板上で実質的に均一なプラズマ処理を確立する ように、予め指定された値に従って前記調節自在なトランスの結合デバイスの結 合パラメータを設定する、請求項15記載の、誘導結合されたプラズマソース。 21.前記高周波電力および前記別の高周波電力が少なくとも2つの別個の高 周波電源によって供給される、請求項1記載の、誘導結合されたプラズマソース 。 22.前記少なくとも1つの基板上で実質的に均一なプラズマ処理を提供する ように、予め指定された電力設定ポイントに従って前記少なくとも2つの別個の 高周波電源を調節する、請求項21記載の、誘導結合されたプラズマソース。 23.前記少なくとも1つの基板上で実質的に均一なプラズマ処理を提供する ように、マルチ変数コントローラによって前記少なくとも2つの別個の高周波電 源を調節する、請求項21記載の、誘導結合されたプラズマソース。 24.前記プラズマ処理装置が半導体デバイス製造装置である、請求項1記載 の、誘導結合されたプラズマソース。 25.前記プラズマ処理装置がデータ記憶デバイス製造装置である、請求項1 記載の、誘導結合されたプラズマソース。 26.前記第1アンテナ構造体が実質的に平面状のアンテナを含む、請求項1 記載の、誘導結合されたプラズマソース。 27.前記少なくとも1つの増設アンテナ構造体が実質的に平面状のアンテナ を含む、請求項1記載の、誘導結合されたプラズマソース。 28.前記第1アンテナ構造体が円筒形アンテナを含み、前記少なくとも1つ の増設アンテナ構造体が円筒形アンテナを含む、請求項1記載の、誘導結合され たプラズマソース。 29.前記第1アンテナ構造体が円錐形アンテナを含み、前記少なくとも1つ の増設アンテナ構造体が円錐形アンテナを含む、請求項1記載の、誘導結合され たプラズマソース。 30.前記第1アンテナ構造体がグレード付加構造アンテナを含み、前記少な くとも1つの増設アンテナ構造体がグレード付加構造アンテナを含む、請求項1 記載の、誘導結合されたプラズマソース。 31.前記第1アンテナ構造体および前記少なくとも1つの増設アンテナ構造 体が電気的に絶縁性の材料から成る保護ハウジング内に埋め込まれている、請求 項1記載の誘導結合されたプラズマソース。 32.前記保護ハウジングが前記プラズマ処理装置のプロセスチャンバに真空 を与える真空支持部品に結合されている、請求項31記載の、誘導結合されたプ ラズマソース。 33.前記保護ハウジングが熱伝導性材料から成る、請求項31記載の、誘導 結合されたプラズマソース。 34.前記電気絶縁性材料がセラミックをベースとする材料である、請求項3 1記載の、誘導結合されたプラズマソース。 35.前記セラミックをベースとする材料が窒化アルミニウムから成る、請求 項34記載の、誘導結合されたプラズマソース。 36.前記電気絶縁性材料がポリマーをベースとする材料である、請求項31 記載の、誘導結合されたプラズマソース。 37.前記第1アンテナ構造体にRF電力を供給するための第1RF電源と、 前記少なくとも1つの増設アンテナ構造体にRF電力を供給するための、少な くとも1つの別個のRF電源とを更に備え、 前記第1RF電源と前記少なくとも1つの別個のRF電源とが関連し、前記プ ラズマ処理装置内で前記少なくとも1つの基板上で均一なプラズマ処理を提供す るようになっている、請求項1記載の装置。 38.前記第1RF電源と前記少なくとも1つの増設RF電源とがプラズマ製 造プロセス中にマルチRDMPプロセス制御のフレキシビリティおよび均一性の 調節を行うように別々に作動する、請求項37記載の装置。 39.前記第1アンテナ構造体にRF電力を供給するための第1RF電源と、 前記少なくとも1つの増設アンテナ構造体にRF電力を供給するための、少な くとも1つの別個のRF電源とを更に備え、 前記第1RF電源と前記少なくとも1つの別個のRF電源とが関連し、前記プ ラズマ処理装置内で空間的に均一なプロセス密度およびイオン電流密度を得るよ うになっている、請求項1記載の装置。 40.前記アンテナ構造体が指定された基板サイズを有する前記少なくとも1 つの基板上で実質的に均一なプラズマ処理を提供するように選択された空間的位 置に、種々のサイズを有する複数の誘導コイルセグメントを含む、請求項1記載 の、誘導結合されたプラズマソース。 41.前記誘導コイルセグメントが種々の直径を有する、実質的に同心状の円 形の割れたライトとして形成されている、請求項1記載の、誘導結合されたプラ ズマソース。 42.前記誘導コイルセグメントの割れたリングが回転角方向にスタガー状と なっている、請求項1記載の、誘導結合されたプラズマソース。 43.前記プラズマ処理装置内でインサイトセンサーを使用してリアルタイム でプラズマプロセスのモニタを行うための光学的ビューポートを更に含む、請求 項1記載の、誘導結合されたプラズマソース。 44.前記インサイトセンサーがプラズマ放出センサーである、請求項43記 載の、誘導結合されたプラズマソース。 45.前記インサイトセンサーがフルウェーハの干渉計センサーである、請求 項43記載の、誘導結合されたプラズマソース。 46.前記保護ハウジングが上部誘電プレートと下部誘電プレートとを含む、 請求項31記載の、誘導結合されたプラズマソース。 47.前記上部誘電プレートが前記アンテナ構造体に電気接続するためのフィ ードスルーを含む、請求項46記載の、誘導結合されたプラズマソース。 48.前記上部誘電プレートが少なくとも1つのプロセスガス入口フィードス ルーと、該プロセスガス入口フィードスルーに関連した少なくとも1つのガス分 散キャビティとを含む、請求項47記載の、誘導結合されたプラズマソース。 49.前記下部誘電プレートが前記アンテナ構造体を収容するキャビティを含 む、請求項48記載の、誘導結合されたプラズマソース。 50.前記下部誘電プレートが前記少なくとも1つのガス分散キャビティから プロセスガスを注入するための複数の小径の孔を含む、請求項49記載の、誘導 結合されたプラズマソース。 51.前記保護ハウジングが実質的に平面状のアセンブリである、請求項31 記載の誘導結合されたプラズマソース。 52.前記保護ハウジングが前記少なくとも1つの基板のサイズよりも大きい 直径を有する、実質的に円筒形のアセンブリである、請求項51記載の、誘導結 合されたプラズマソース。 53.前記少なくとも2つのアンテナセグメントを接続する前記少なくとも1 つの直列コンデンサが、前記プラズマ処理装置の真空チャンバの外に置かれてい る請求項9記載の、誘導結合されたプラズマソース。 54.前記アンテナ構造体が円形のアンテナセグメントを有する、請求項1記 載の、誘導結合されたプラズマソース。 55.前記アンテナ構造体が螺旋アンテナセグメントを有する、請求項1記載 の、誘導結合されたプラズマソース。 56.前記高周波電力および前記別の高周波電力が1MHz〜50MHzの範 囲内の電気周波数を有する、請求項1記載の、誘導結合されたプラズマソース。 57.前記高周波電力および前記別の高周波電力が等しい電気周波数を有する 、請求項1記載の、誘導結合されたプラズマソース。 58.前記アンテナ構造体が前記少なくとも1つの基板にて、プラズマプロセ スパラメータをマルチゾーンで調節する能力を設定するように、前記プラズマ媒 体内で空間分解および制御能力を提供する、請求項1記載の、誘導結合されたプ ラズマソース。 59.プラズマ処理装置において、誘導結合された、マルチゾーンのプラズマ を発生するための方法であって、 誘導結合された第1アンテナ構造を使用してプラズマプロセスガスからプラズ マを発生する工程と 少なくとも1つの増設された誘導結合されたアンテナ構造体を使用し、プラズ マプロセスガスからプラズマを別個に発生する工程と、 前記プラズマ処理装置内で少なくとも1つの基板上で、実質的に均一なプラズ マプロセスを確立するように、少なくとも1つの増設アンテナ構造体と調和させ て前記第1アンテナ構造体を作動する工程とを備えた、誘導結合されたプロセス を発生するための方法。 60.第1高周波電源を使用し、前記誘導結合された第1アンテナ構造体に高 周波電力を供給する工程と、 少なくとも1つの別個の高周波電源を使用し、前記少なくとも1つの増設され た誘導結合されたアンテナ構造体に高周波電力を供給する工程と、 前記少なくとも1つの基板上で均一なプラズマ処理を達成するよう、前記第1 高周波電源と前記少なくとも1つの別個の高周波電源とを連動させる工程とを更 に備えた、請求項59記載の方法。 61.プラズマ処理中にプロセス制御のフレキシビリティおよび均一性を調節 するよう、前記第1高周波電源および前記少なくとも1つの別個の高周波電源を 別々に作動させ、調節する工程を更に含む、請求項60記載の方法。 62.単一の高周波電源を使用して前記第1の誘導結合されたアンテナ構造体 および前記少なくとも1つの増設された誘導結合されたアンテナ構造体に高周波 電力を供給する工程と更に含む、請求項59記載の方法。 63.単一の高周波電源を使用して高周波電力を提供する工程が、少なくとも 2つの調節自在な受動形電気部品を介し、前記単一の高周波電源からの電力レベ ルを調節自在に送ることを更に含む、請求項62記載の方法。 64.実質的に均一なプラズマプロセスを確立するよう、前記調節自在な受動 形電気部品を別々に作動させ、これら部品の設定ポイントを調節する工程を更に 含む、請求項63記載の方法。 65.単一の高周波電源を使用して高周波電力を供給する工程が、前記単一の 高周波電力と前記第1の誘導結合されたアンテナ構造体との間に調節自在な結合 部を有するトランスを挿入することを更に含む、請求項62記載の方法。 66.実質的に均一なプラズマプロセスを確立するよう、前記トランスを別々 に作動させ、前記調節自在な結合部分を調節する工程を更に含む、請求項65記 載の方法。 67.前記プラズマ処理装置内の前記少なくとも1つの基板のサイズに従った 大きさの、誘導結合されたアンテナセグメントの複数の個々の組として作動する ように前記少なくとも1つの増設された誘導結合されたアンテナ構造体を制御す る工程を更に含む、請求項59記載の方法。 68.前記誘導結合された第1アンテナ構造体および前記少なくとも1つの増 設されたアンテナ構造体内の分布された共振コンデンサにより、前記誘導結合さ れた第1アンテナ構造体と前記少なくとも1つの増設されたアンテナ構造体との 間の高周波電圧を低減することにより、前記プラズマ処理装置内の電界によって 誘導されたアークの発生を最小にする工程を更に含む、請求項59記載の方法。 69.前記プラズマ処理装置を探査し、実質的に均一なプラズマプロセスを確 立するために少なくとも1つの現場センサーを使用し、前記誘導結合された第1 アンテナ構造体および前記少なくとも1つの誘導結合された増設アンテナ構造体 をリアルタイムでマルチゾーン制御する工程を更に含む、請求項59記載の方法 。 70.稼働ごとに第1の高周波電源により供給される電力および少なくとも1 つの増設された高周波電源により供給される電力を調節するよう、センサーによ って供給される制御信号をマルチ変数コントローラに送る工程を更に含む、請求 項60記載の方法。 71.リアルタイムベースで第1の高周波電源により供給される電力および少 なくとも1つの増設された高周波電源により供給される電力を調節するよう、セ ンサーによって供給される制御信号をマルチ変数コントローラに送る工程を更に 含む、請求項60記載の方法。 72.誘導結合された高密度のマルチゾーンプラズマソースを形成するための 方法において、プラズマプロセスガスからプラズマを発生するための誘導結合さ れたコイルセグメントの第1の組を形成する工程と、 プラズマプロセスガスから別個にプラズマを発生するための誘導結合されたコ イルセグメントの少なくとも1つの別個の組を形成する工程を更に備え、個々に 制御された誘導結合されたコイルセグメントの前記第1の組と誘導結合されたコ イルセグメントの前記少なくとも1つの別個の組とが関連し、実質的に均一なプ ラズマプロセスを実行するように空間的に改造されたマルチゾーン動作を行う、 誘導結合された高密度のマルチゾーンプラズマソースを形成するための方法。 73.誘導結合されたコイルセグメントの前記第1の組に高周波電力を供給す るための第1の高周波電源を形成する工程と、 誘導結合されたコイルセグメントの前記少なくとも1つの別個の組に高周波電 力を供給するための少なくとも1つの別個の高周波電源を形成する工程とを備え 、 前記第1高周波電源および前記少なくとも1つの別個の高周波電源が、少なく とも1つの基板に作用する半導体デバイスのプラズマ製造装置のプロセス環境に おける均一なプラズマ密度およびイオン電流密度を形成するように形成されてい る、請求項72記載の方法。 74.プラズマ形成プロセス中にプロセス制御のフレキシビリティおよび均一 性の調節をするために別々に作動させるよう、前記第1の高周波電源および前記 少なくとも1つの別個の高周波電源を利用する工程を更に含む、請求項74記載 の方法。 75.誘導結合されたコイルセグメントの前記第1の組に高周波電力を供給す るための第1の高周波電源を利用する工程と、 誘導結合されたコイルセグメントの前記少なくとも1つの別個の組に高周波電 力を供給するための少なくとも1つの別個の高周波電源を利用する工程とを備え 、 前記第1高周波電源および前記少なくとも1つの別個の高周波電源が、プラズ マ形成プロセス環境内で十分な大きさのプラズマ密度およびイオン電流密度を達 成するように作動する、請求項73記載の方法。 76.所定の基板サイズに従った大きさの複数の誘導結合されたコイルの割れ たリングを含むように、誘導結合されたコイルセグメントの前記少なくとも1つ の別個の組を形成する工程を更に含む、請求項73記載の方法。 77.プラズマ形成プロセス環境内での、電界によって誘導されるアークの発 生を最小にするよう、少なくとも1つの直列コンデンサを使用し、前記誘導結合 されたコイルセグメントを相互に接続する工程を更に含む、請求項73記載の方 法。 78.誘導結合されたコイルセグメントの前記第1の組および誘導結合された コイルセグメントの前記少なくとも1つの別個の組のマルチゾーン制御を可能に するよう、プラズマ形成プロセス環境内との関連のために、少なくとも1つの現 場センサーを利用する工程を更に含む、請求項73記載の方法。
JP10505368A 1996-07-10 1997-07-09 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法 Pending JP2000515304A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/678,065 US5846883A (en) 1996-07-10 1996-07-10 Method for multi-zone high-density inductively-coupled plasma generation
US08/678,065 1996-07-10
PCT/US1997/012243 WO1998001893A1 (en) 1996-07-10 1997-07-09 Apparatus and method for multi-zone high-density inductively-coupled plasma generation

Publications (1)

Publication Number Publication Date
JP2000515304A true JP2000515304A (ja) 2000-11-14

Family

ID=24721236

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10505368A Pending JP2000515304A (ja) 1996-07-10 1997-07-09 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法

Country Status (6)

Country Link
US (2) US5846883A (ja)
JP (1) JP2000515304A (ja)
KR (1) KR20000023689A (ja)
GB (1) GB2332979A (ja)
TW (1) TW363332B (ja)
WO (1) WO1998001893A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012074200A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2012209354A (ja) * 2011-03-29 2012-10-25 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
CN103959918A (zh) * 2011-11-17 2014-07-30 朗姆研究公司 分布式多区等离子体源的系统、方法及设备
JP2017204404A (ja) * 2016-05-12 2017-11-16 東京エレクトロン株式会社 プラズマ処理装置
JP2021034138A (ja) * 2019-08-19 2021-03-01 株式会社東芝 高周波アンテナ及びプラズマ処理装置
WO2022020189A1 (en) * 2020-07-23 2022-01-27 Applied Materials, Inc. Plasma source for semiconductor processing

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3423186B2 (ja) * 1997-04-09 2003-07-07 東京エレクトロン株式会社 処理方法
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
DE19814805A1 (de) * 1998-04-02 1999-10-07 Bosch Gmbh Robert Beschichtungsverfahren eines Wischergummis
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
JP2000192244A (ja) * 1998-10-16 2000-07-11 Canon Inc 堆積膜の形成装置及び形成方法
GB2387023B (en) * 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
IT1312150B1 (it) * 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
US6502529B2 (en) 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
TW588222B (en) * 2000-02-10 2004-05-21 Asml Netherlands Bv Cooling of voice coil motors in lithographic projection apparatus
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
WO2001065895A2 (en) * 2000-03-01 2001-09-07 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
WO2004042830A1 (en) * 2002-11-05 2004-05-21 Koninklijke Philips Electronics N.V. Nanostructure, electronic device having such nanostructure and method of preparing nanostructure
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US7235155B2 (en) * 2003-03-14 2007-06-26 Tokyo Electron Limited Method and apparatus for monitoring plasma conditions using a monitoring ring
EP1612848B1 (en) 2003-03-26 2013-09-25 Osaka University Extreme ultraviolet light source, extreme ultraviolet light source targets and methods of manufacturing an extreme ultraviolet light source target
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US20040237888A1 (en) * 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
GB0403374D0 (en) * 2004-02-16 2004-03-17 Tesla Engineering Ltd Cooling of coils in magnetic resonance imaging
US9123508B2 (en) * 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) * 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
JP3616088B1 (ja) * 2004-03-17 2005-02-02 独立行政法人科学技術振興機構 マイクロプラズマジェット発生装置
US20050205969A1 (en) * 2004-03-19 2005-09-22 Sharp Laboratories Of America, Inc. Charge trap non-volatile memory structure for 2 bits per transistor
US7750575B2 (en) * 2004-04-07 2010-07-06 Zond, Inc. High density plasma source
US20050235915A1 (en) * 2004-04-27 2005-10-27 Ho Yeu-Chuan S Plasma surface treatment electrode assembly and arrangement
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR20060032454A (ko) * 2004-10-12 2006-04-17 삼성전자주식회사 다결정 실리콘 제조방법
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7474273B1 (en) 2005-04-27 2009-01-06 Imaging Systems Technology Gas plasma antenna
DE602006008780D1 (de) * 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
JP4782585B2 (ja) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US7759600B2 (en) * 2006-03-15 2010-07-20 Samsung Austin Semiconductor, L.P. Rupture resistant plasma tube
US7719471B1 (en) 2006-04-27 2010-05-18 Imaging Systems Technology Plasma-tube antenna
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
KR100845903B1 (ko) * 2006-12-11 2008-07-16 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US7999747B1 (en) 2007-05-15 2011-08-16 Imaging Systems Technology Gas plasma microdischarge antenna
TWI423737B (zh) * 2007-05-22 2014-01-11 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
TWI383712B (zh) * 2007-05-22 2013-01-21 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
KR101384583B1 (ko) * 2007-08-02 2014-04-14 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101424487B1 (ko) * 2007-08-31 2014-07-31 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101468730B1 (ko) * 2007-08-31 2014-12-09 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
US7922864B2 (en) * 2007-11-20 2011-04-12 Optisolar, Inc. Quick-change precursor manifold for large-area CVD and PECVD
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US9139351B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-stabilized storage systems with flexible connectors
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
KR100980281B1 (ko) * 2007-12-24 2010-09-06 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 이중 플라즈마 반응기
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
WO2009142016A1 (ja) * 2008-05-22 2009-11-26 株式会社イー・エム・ディー プラズマ生成装置およびプラズマ処理装置
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
TWI386112B (zh) * 2008-08-21 2013-02-11 Atomic Energy Council 射頻中空陰極電漿源產生裝置
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101015534B1 (ko) * 2008-10-15 2011-02-16 주식회사 동부하이텍 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
TW201105183A (en) * 2009-07-21 2011-02-01 Delta Electronics Inc Plasma generating apparatus
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
DE112011102327T5 (de) * 2010-07-12 2013-06-06 Ulvac, Inc. Schichtbildungsvorrichtung
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101196309B1 (ko) * 2011-05-19 2012-11-06 한국과학기술원 플라즈마 발생 장치
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101246191B1 (ko) 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR20140087215A (ko) * 2012-12-28 2014-07-09 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015106318A1 (en) * 2014-01-15 2015-07-23 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN105118767B (zh) * 2015-07-27 2017-04-12 郑州大学 等离子体刻蚀设备
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102634044B1 (ko) * 2016-09-06 2024-02-06 주성엔지니어링(주) 기판 처리 장치용 가스 분사 장치 및 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN109983566A (zh) * 2016-11-21 2019-07-05 应用材料公司 用于有效率的气体分配组件冷却的具有同心或螺旋通道的双区流动冷却板设计
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180097064A (ko) * 2017-02-22 2018-08-30 삼성전기주식회사 안테나 장치 및 이를 구비하는 휴대 단말기
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7229061B2 (ja) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 基板のエッチング装置及びエッチング方法
KR102603678B1 (ko) * 2020-10-13 2023-11-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
FR2711035B1 (fr) * 1993-10-04 1995-12-29 Plasmion Dispositif et procédé pour former un plasma par application de micro-ondes.
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012074200A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9218943B2 (en) 2010-09-28 2015-12-22 Toyko Electron Limited Plasma processing apparatus and plasma processing method
JP2012209354A (ja) * 2011-03-29 2012-10-25 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
CN103959918A (zh) * 2011-11-17 2014-07-30 朗姆研究公司 分布式多区等离子体源的系统、方法及设备
JP2017204404A (ja) * 2016-05-12 2017-11-16 東京エレクトロン株式会社 プラズマ処理装置
JP2021034138A (ja) * 2019-08-19 2021-03-01 株式会社東芝 高周波アンテナ及びプラズマ処理装置
JP7225058B2 (ja) 2019-08-19 2023-02-20 株式会社東芝 高周波アンテナ及びプラズマ処理装置
WO2022020189A1 (en) * 2020-07-23 2022-01-27 Applied Materials, Inc. Plasma source for semiconductor processing

Also Published As

Publication number Publication date
WO1998001893A1 (en) 1998-01-15
US20010047760A1 (en) 2001-12-06
US5846883A (en) 1998-12-08
TW363332B (en) 1999-07-01
GB9900408D0 (en) 1999-02-24
GB2332979A (en) 1999-07-07
KR20000023689A (ko) 2000-04-25

Similar Documents

Publication Publication Date Title
JP2000515304A (ja) 誘導結合された高密度マルチゾーンプラズマを発生するための装置および方法
US6209480B1 (en) Hermetically-sealed inductively-coupled plasma source structure and method of use
CN1945807B (zh) 控制衬底温度的装置
JP3159306U (ja) シーリングアセンブリを有する着脱式静電チャック
US11043360B2 (en) Gas distribution plate assembly for high power plasma etch processes
US6916399B1 (en) Temperature controlled window with a fluid supply system
US6907924B2 (en) Thermally conductive chuck for vacuum processor
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
US6488822B1 (en) Segmented-target ionized physical-vapor deposition apparatus and method of operation
US20070079936A1 (en) Bonded multi-layer RF window
US20100288439A1 (en) Top plate and plasma process apparatus employing the same
EP0759632A1 (en) Plasma processing apparatus
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
KR102092623B1 (ko) 플라스마 처리 장치
US20100039747A1 (en) Electrostatic chuck assembly
JPH11354504A (ja) ガラス基板処理装置
CN101023197A (zh) 晶片加热器组件
WO1999049501A2 (en) A high temperature multi-layered alloy heater assembly and related methods
US20210243876A1 (en) Plasma Source Having a Dielectric Plasma Chamber with Improved Plasma Resistance
US20230290611A1 (en) Distributed plasma source array
JP3150027B2 (ja) プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
KR101849037B1 (ko) 텅스텐계 내식판을 포함하는 플라즈마 장치용 부품 및 그 제조방법
WO2024070071A1 (ja) ステージ
US20240136214A1 (en) Long-life extended temperature range embedded diode design for electrostatic chuck with multiplexed heaters array
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치