US6367410B1
(en)
*
|
1996-12-16 |
2002-04-09 |
Applied Materials, Inc. |
Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
|
JP3423186B2
(ja)
*
|
1997-04-09 |
2003-07-07 |
東京エレクトロン株式会社 |
処理方法
|
US6178920B1
(en)
*
|
1997-06-05 |
2001-01-30 |
Applied Materials, Inc. |
Plasma reactor with internal inductive antenna capable of generating helicon wave
|
US6158384A
(en)
*
|
1997-06-05 |
2000-12-12 |
Applied Materials, Inc. |
Plasma reactor with multiple small internal inductive antennas
|
US6076482A
(en)
*
|
1997-09-20 |
2000-06-20 |
Applied Materials, Inc. |
Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
|
US6129807A
(en)
|
1997-10-06 |
2000-10-10 |
Applied Materials, Inc. |
Apparatus for monitoring processing of a substrate
|
JPH11135438A
(ja)
*
|
1997-10-28 |
1999-05-21 |
Nippon Asm Kk |
半導体プラズマ処理装置
|
DE19814805A1
(de)
*
|
1998-04-02 |
1999-10-07 |
Bosch Gmbh Robert |
Beschichtungsverfahren eines Wischergummis
|
US6390019B1
(en)
|
1998-06-11 |
2002-05-21 |
Applied Materials, Inc. |
Chamber having improved process monitoring window
|
US6074516A
(en)
*
|
1998-06-23 |
2000-06-13 |
Lam Research Corporation |
High sputter, etch resistant window for plasma processing chambers
|
US6164241A
(en)
*
|
1998-06-30 |
2000-12-26 |
Lam Research Corporation |
Multiple coil antenna for inductively-coupled plasma generation systems
|
US6217272B1
(en)
|
1998-10-01 |
2001-04-17 |
Applied Science And Technology, Inc. |
In-line sputter deposition system
|
US6328858B1
(en)
|
1998-10-01 |
2001-12-11 |
Nexx Systems Packaging, Llc |
Multi-layer sputter deposition apparatus
|
EP1001449A1
(en)
*
|
1998-10-16 |
2000-05-17 |
Canon Kabushiki Kaisha |
Deposited film forming system and process
|
GB2387023B
(en)
*
|
1998-12-17 |
2003-12-03 |
Trikon Holdings Ltd |
Inductive coil assembly
|
US6499425B1
(en)
*
|
1999-01-22 |
2002-12-31 |
Micron Technology, Inc. |
Quasi-remote plasma processing method and apparatus
|
IT1312150B1
(it)
*
|
1999-03-25 |
2002-04-09 |
Lpe Spa |
Perfezionata camera di reazione per reattore epitassiale
|
US6502529B2
(en)
|
1999-05-27 |
2003-01-07 |
Applied Materials Inc. |
Chamber having improved gas energizer and method
|
US6206972B1
(en)
*
|
1999-07-08 |
2001-03-27 |
Genus, Inc. |
Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
|
US6225745B1
(en)
*
|
1999-12-17 |
2001-05-01 |
Axcelis Technologies, Inc. |
Dual plasma source for plasma process chamber
|
TW588222B
(en)
*
|
2000-02-10 |
2004-05-21 |
Asml Netherlands Bv |
Cooling of voice coil motors in lithographic projection apparatus
|
KR100545034B1
(ko)
*
|
2000-02-21 |
2006-01-24 |
가부시끼가이샤 히다치 세이사꾸쇼 |
플라즈마처리장치 및 시료의 처리방법
|
JP3379506B2
(ja)
*
|
2000-02-23 |
2003-02-24 |
松下電器産業株式会社 |
プラズマ処理方法及び装置
|
WO2001065895A2
(en)
*
|
2000-03-01 |
2001-09-07 |
Tokyo Electron Limited |
Electrically controlled plasma uniformity in a high density plasma source
|
JP4567148B2
(ja)
*
|
2000-06-23 |
2010-10-20 |
東京エレクトロン株式会社 |
薄膜形成装置
|
US6530733B2
(en)
|
2000-07-27 |
2003-03-11 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6682288B2
(en)
|
2000-07-27 |
2004-01-27 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6821912B2
(en)
|
2000-07-27 |
2004-11-23 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6471830B1
(en)
|
2000-10-03 |
2002-10-29 |
Veeco/Cvc, Inc. |
Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
|
US6534423B1
(en)
*
|
2000-12-27 |
2003-03-18 |
Novellus Systems, Inc. |
Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
|
US6673199B1
(en)
|
2001-03-07 |
2004-01-06 |
Applied Materials, Inc. |
Shaping a plasma with a magnetic field to control etch rate uniformity
|
US6602381B1
(en)
|
2001-04-30 |
2003-08-05 |
Lam Research Corporation |
Plasma confinement by use of preferred RF return path
|
JP3886424B2
(ja)
*
|
2001-08-28 |
2007-02-28 |
鹿児島日本電気株式会社 |
基板処理装置及び方法
|
US20030047282A1
(en)
*
|
2001-09-10 |
2003-03-13 |
Yasumi Sago |
Surface processing apparatus
|
JP3982402B2
(ja)
*
|
2002-02-28 |
2007-09-26 |
東京エレクトロン株式会社 |
処理装置及び処理方法
|
CN100459181C
(zh)
*
|
2002-11-05 |
2009-02-04 |
皇家飞利浦电子股份有限公司 |
纳米结构、具有这种纳米结构的电子器件和纳米结构的制造方法
|
US7534363B2
(en)
*
|
2002-12-13 |
2009-05-19 |
Lam Research Corporation |
Method for providing uniform removal of organic material
|
US7169231B2
(en)
|
2002-12-13 |
2007-01-30 |
Lam Research Corporation |
Gas distribution system with tuning gas
|
TW201041455A
(en)
*
|
2002-12-16 |
2010-11-16 |
Japan Science & Tech Agency |
Plasma generation device, plasma control method, and substrate manufacturing method
|
US7273638B2
(en)
*
|
2003-01-07 |
2007-09-25 |
International Business Machines Corp. |
High density plasma oxidation
|
US7235155B2
(en)
*
|
2003-03-14 |
2007-06-26 |
Tokyo Electron Limited |
Method and apparatus for monitoring plasma conditions using a monitoring ring
|
KR101010584B1
(ko)
|
2003-03-26 |
2011-01-24 |
고꾸리쯔 다이가꾸 호우징 오사까 다이가꾸 |
극단 자외광원 및 극단 자외광원용 타깃
|
US6806651B1
(en)
|
2003-04-22 |
2004-10-19 |
Zond, Inc. |
High-density plasma source
|
US20040237888A1
(en)
*
|
2003-05-30 |
2004-12-02 |
General Electric Company |
Optical monitoring system for plasma enhanced chemical vapor deposition
|
EP1667217A1
(en)
*
|
2003-09-03 |
2006-06-07 |
Tokyo Electron Limited |
Gas treatment device and heat readiting method
|
US7748344B2
(en)
*
|
2003-11-06 |
2010-07-06 |
Axcelis Technologies, Inc. |
Segmented resonant antenna for radio frequency inductively coupled plasmas
|
US7421973B2
(en)
*
|
2003-11-06 |
2008-09-09 |
Axcelis Technologies, Inc. |
System and method for performing SIMOX implants using an ion shower
|
US20050123288A1
(en)
*
|
2003-11-12 |
2005-06-09 |
Ibiden Co., Ltd. |
Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
|
US20050103620A1
(en)
*
|
2003-11-19 |
2005-05-19 |
Zond, Inc. |
Plasma source with segmented magnetron cathode
|
US9771648B2
(en)
*
|
2004-08-13 |
2017-09-26 |
Zond, Inc. |
Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
|
GB0403374D0
(en)
*
|
2004-02-16 |
2004-03-17 |
Tesla Engineering Ltd |
Cooling of coils in magnetic resonance imaging
|
US9123508B2
(en)
*
|
2004-02-22 |
2015-09-01 |
Zond, Llc |
Apparatus and method for sputtering hard coatings
|
US7663319B2
(en)
*
|
2004-02-22 |
2010-02-16 |
Zond, Inc. |
Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
|
JP3616088B1
(ja)
*
|
2004-03-17 |
2005-02-02 |
独立行政法人科学技術振興機構 |
マイクロプラズマジェット発生装置
|
US20050205969A1
(en)
*
|
2004-03-19 |
2005-09-22 |
Sharp Laboratories Of America, Inc. |
Charge trap non-volatile memory structure for 2 bits per transistor
|
US7750575B2
(en)
*
|
2004-04-07 |
2010-07-06 |
Zond, Inc. |
High density plasma source
|
US20050235915A1
(en)
*
|
2004-04-27 |
2005-10-27 |
Ho Yeu-Chuan S |
Plasma surface treatment electrode assembly and arrangement
|
US20070066038A1
(en)
|
2004-04-30 |
2007-03-22 |
Lam Research Corporation |
Fast gas switching plasma processing apparatus
|
US7708859B2
(en)
*
|
2004-04-30 |
2010-05-04 |
Lam Research Corporation |
Gas distribution system having fast gas switching capabilities
|
US7712434B2
(en)
*
|
2004-04-30 |
2010-05-11 |
Lam Research Corporation |
Apparatus including showerhead electrode and heater for plasma processing
|
KR20060032454A
(ko)
*
|
2004-10-12 |
2006-04-17 |
삼성전자주식회사 |
다결정 실리콘 제조방법
|
JP4502198B2
(ja)
*
|
2004-10-21 |
2010-07-14 |
ルネサスエレクトロニクス株式会社 |
エッチング装置およびエッチング方法
|
KR100661744B1
(ko)
*
|
2004-12-23 |
2006-12-27 |
주식회사 에이디피엔지니어링 |
플라즈마 처리장치
|
US7886687B2
(en)
*
|
2004-12-23 |
2011-02-15 |
Advanced Display Process Engineering Co. Ltd. |
Plasma processing apparatus
|
US7632375B2
(en)
*
|
2004-12-30 |
2009-12-15 |
Lam Research Corporation |
Electrically enhancing the confinement of plasma
|
US7474273B1
(en)
|
2005-04-27 |
2009-01-06 |
Imaging Systems Technology |
Gas plasma antenna
|
KR101306612B1
(ko)
*
|
2005-06-10 |
2013-09-11 |
버드 테크놀로지 그룹 인크. |
반도체 플라즈마 발생 시스템들에서 전력 흐름을 분석하는시스템 및 방법
|
US7562638B2
(en)
*
|
2005-12-23 |
2009-07-21 |
Lam Research Corporation |
Methods and arrangement for implementing highly efficient plasma traps
|
US7679024B2
(en)
*
|
2005-12-23 |
2010-03-16 |
Lam Research Corporation |
Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
|
JP4782585B2
(ja)
*
|
2006-02-28 |
2011-09-28 |
株式会社日立ハイテクノロジーズ |
プラズマエッチング装置及び方法
|
US7759600B2
(en)
*
|
2006-03-15 |
2010-07-20 |
Samsung Austin Semiconductor, L.P. |
Rupture resistant plasma tube
|
US7719471B1
(en)
|
2006-04-27 |
2010-05-18 |
Imaging Systems Technology |
Plasma-tube antenna
|
JP2007305890A
(ja)
*
|
2006-05-15 |
2007-11-22 |
Elpida Memory Inc |
半導体製造装置
|
US7932181B2
(en)
*
|
2006-06-20 |
2011-04-26 |
Lam Research Corporation |
Edge gas injection for critical dimension uniformity improvement
|
KR100835355B1
(ko)
*
|
2006-07-25 |
2008-06-04 |
삼성전자주식회사 |
플라즈마를 이용한 이온주입장치
|
KR100845903B1
(ko)
*
|
2006-12-11 |
2008-07-16 |
주식회사 뉴파워 프라즈마 |
다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
|
US20080156264A1
(en)
*
|
2006-12-27 |
2008-07-03 |
Novellus Systems, Inc. |
Plasma Generator Apparatus
|
WO2008118483A1
(en)
*
|
2007-03-27 |
2008-10-02 |
Structured Materials Inc. |
Showerhead for chemical vapor deposition (cvd) apparatus
|
US7999747B1
(en)
|
2007-05-15 |
2011-08-16 |
Imaging Systems Technology |
Gas plasma microdischarge antenna
|
DE102007026349A1
(de)
*
|
2007-06-06 |
2008-12-11 |
Aixtron Ag |
Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
|
US20080302303A1
(en)
*
|
2007-06-07 |
2008-12-11 |
Applied Materials, Inc. |
Methods and apparatus for depositing a uniform silicon film with flow gradient designs
|
US9123509B2
(en)
|
2007-06-29 |
2015-09-01 |
Varian Semiconductor Equipment Associates, Inc. |
Techniques for plasma processing a substrate
|
US9105449B2
(en)
*
|
2007-06-29 |
2015-08-11 |
Lam Research Corporation |
Distributed power arrangements for localizing power delivery
|
US20090004836A1
(en)
*
|
2007-06-29 |
2009-01-01 |
Varian Semiconductor Equipment Associates, Inc. |
Plasma doping with enhanced charge neutralization
|
US8528498B2
(en)
*
|
2007-06-29 |
2013-09-10 |
Lam Research Corporation |
Integrated steerability array arrangement for minimizing non-uniformity
|
KR101384583B1
(ko)
*
|
2007-08-02 |
2014-04-14 |
최대규 |
다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
|
KR101424487B1
(ko)
*
|
2007-08-31 |
2014-07-31 |
최대규 |
다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
|
KR101468730B1
(ko)
*
|
2007-08-31 |
2014-12-09 |
최대규 |
다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
|
US7922864B2
(en)
*
|
2007-11-20 |
2011-04-12 |
Optisolar, Inc. |
Quick-change precursor manifold for large-area CVD and PECVD
|
US9174791B2
(en)
|
2007-12-11 |
2015-11-03 |
Tokitae Llc |
Temperature-stabilized storage systems
|
US9205969B2
(en)
|
2007-12-11 |
2015-12-08 |
Tokitae Llc |
Temperature-stabilized storage systems
|
US20110127273A1
(en)
|
2007-12-11 |
2011-06-02 |
TOKITAE LLC, a limited liability company of the State of Delaware |
Temperature-stabilized storage systems including storage structures configured for interchangeable storage of modular units
|
US8215835B2
(en)
|
2007-12-11 |
2012-07-10 |
Tokitae Llc |
Temperature-stabilized medicinal storage systems
|
US8485387B2
(en)
*
|
2008-05-13 |
2013-07-16 |
Tokitae Llc |
Storage container including multi-layer insulation composite material having bandgap material
|
US9140476B2
(en)
|
2007-12-11 |
2015-09-22 |
Tokitae Llc |
Temperature-controlled storage systems
|
US8062472B2
(en)
*
|
2007-12-19 |
2011-11-22 |
Applied Materials, Inc. |
Method of correcting baseline skew by a novel motorized source coil assembly
|
KR100980281B1
(ko)
*
|
2007-12-24 |
2010-09-06 |
주식회사 뉴파워 프라즈마 |
다중 코어 플라즈마 발생기를 갖는 이중 플라즈마 반응기
|
US9591738B2
(en)
*
|
2008-04-03 |
2017-03-07 |
Novellus Systems, Inc. |
Plasma generator systems and methods of forming plasma
|
KR101004927B1
(ko)
*
|
2008-04-24 |
2010-12-29 |
삼성엘이디 주식회사 |
Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
|
FR2930561B1
(fr)
*
|
2008-04-28 |
2011-01-14 |
Altatech Semiconductor |
Dispositif et procede de traitement chimique en phase vapeur.
|
WO2009142016A1
(ja)
*
|
2008-05-22 |
2009-11-26 |
株式会社イー・エム・ディー |
プラズマ生成装置およびプラズマ処理装置
|
JP2010016225A
(ja)
*
|
2008-07-04 |
2010-01-21 |
Tokyo Electron Ltd |
温度調節機構および温度調節機構を用いた半導体製造装置
|
US8916022B1
(en)
|
2008-09-12 |
2014-12-23 |
Novellus Systems, Inc. |
Plasma generator systems and methods of forming plasma
|
KR101015534B1
(ko)
*
|
2008-10-15 |
2011-02-16 |
주식회사 동부하이텍 |
저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
|
TW201105183A
(en)
*
|
2009-07-21 |
2011-02-01 |
Delta Electronics Inc |
Plasma generating apparatus
|
US8712571B2
(en)
*
|
2009-08-07 |
2014-04-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and apparatus for wireless transmission of diagnostic information
|
US9449859B2
(en)
*
|
2009-10-09 |
2016-09-20 |
Applied Materials, Inc. |
Multi-gas centrally cooled showerhead design
|
CN107359103A
(zh)
*
|
2009-10-28 |
2017-11-17 |
应用材料公司 |
用于等离子体增强化学气相沉积的腔室
|
US9111729B2
(en)
|
2009-12-03 |
2015-08-18 |
Lam Research Corporation |
Small plasma chamber systems and methods
|
US9372016B2
(en)
|
2013-05-31 |
2016-06-21 |
Tokitae Llc |
Temperature-stabilized storage systems with regulated cooling
|
US9447995B2
(en)
|
2010-02-08 |
2016-09-20 |
Tokitac LLC |
Temperature-stabilized storage systems with integral regulated cooling
|
US9190289B2
(en)
*
|
2010-02-26 |
2015-11-17 |
Lam Research Corporation |
System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
|
JP5740203B2
(ja)
*
|
2010-05-26 |
2015-06-24 |
東京エレクトロン株式会社 |
プラズマ処理装置及びその処理ガス供給構造
|
US9324576B2
(en)
|
2010-05-27 |
2016-04-26 |
Applied Materials, Inc. |
Selective etch for silicon films
|
DE112011102327T5
(de)
*
|
2010-07-12 |
2013-06-06 |
Ulvac, Inc. |
Schichtbildungsvorrichtung
|
US9967965B2
(en)
*
|
2010-08-06 |
2018-05-08 |
Lam Research Corporation |
Distributed, concentric multi-zone plasma source systems, methods and apparatus
|
US9155181B2
(en)
|
2010-08-06 |
2015-10-06 |
Lam Research Corporation |
Distributed multi-zone plasma source systems, methods and apparatus
|
US9449793B2
(en)
|
2010-08-06 |
2016-09-20 |
Lam Research Corporation |
Systems, methods and apparatus for choked flow element extraction
|
US8999104B2
(en)
|
2010-08-06 |
2015-04-07 |
Lam Research Corporation |
Systems, methods and apparatus for separate plasma source control
|
JP5916044B2
(ja)
*
|
2010-09-28 |
2016-05-11 |
東京エレクトロン株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
US10283321B2
(en)
|
2011-01-18 |
2019-05-07 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
JP5800547B2
(ja)
*
|
2011-03-29 |
2015-10-28 |
東京エレクトロン株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
KR101196309B1
(ko)
*
|
2011-05-19 |
2012-11-06 |
한국과학기술원 |
플라즈마 발생 장치
|
KR101241049B1
(ko)
|
2011-08-01 |
2013-03-15 |
주식회사 플라즈마트 |
플라즈마 발생 장치 및 플라즈마 발생 방법
|
US8808563B2
(en)
|
2011-10-07 |
2014-08-19 |
Applied Materials, Inc. |
Selective etch of silicon by way of metastable hydrogen termination
|
KR101246191B1
(ko)
|
2011-10-13 |
2013-03-21 |
주식회사 윈텔 |
플라즈마 장치 및 기판 처리 장치
|
US10224182B2
(en)
*
|
2011-10-17 |
2019-03-05 |
Novellus Systems, Inc. |
Mechanical suppression of parasitic plasma in substrate processing chamber
|
US9177762B2
(en)
|
2011-11-16 |
2015-11-03 |
Lam Research Corporation |
System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
|
US10283325B2
(en)
|
2012-10-10 |
2019-05-07 |
Lam Research Corporation |
Distributed multi-zone plasma source systems, methods and apparatus
|
CN103959918B
(zh)
*
|
2011-11-17 |
2017-10-03 |
朗姆研究公司 |
分布式多区等离子体源的系统、方法及设备
|
US9508530B2
(en)
|
2011-11-21 |
2016-11-29 |
Lam Research Corporation |
Plasma processing chamber with flexible symmetric RF return strap
|
US9083182B2
(en)
|
2011-11-21 |
2015-07-14 |
Lam Research Corporation |
Bypass capacitors for high voltage bias power in the mid frequency RF range
|
US8872525B2
(en)
|
2011-11-21 |
2014-10-28 |
Lam Research Corporation |
System, method and apparatus for detecting DC bias in a plasma processing chamber
|
US9263240B2
(en)
*
|
2011-11-22 |
2016-02-16 |
Lam Research Corporation |
Dual zone temperature control of upper electrodes
|
US10586686B2
(en)
|
2011-11-22 |
2020-03-10 |
Law Research Corporation |
Peripheral RF feed and symmetric RF return for symmetric RF delivery
|
US9396908B2
(en)
|
2011-11-22 |
2016-07-19 |
Lam Research Corporation |
Systems and methods for controlling a plasma edge region
|
US8898889B2
(en)
|
2011-11-22 |
2014-12-02 |
Lam Research Corporation |
Chuck assembly for plasma processing
|
WO2013078098A1
(en)
*
|
2011-11-23 |
2013-05-30 |
Lam Research Corporation |
Multi zone gas injection upper electrode system
|
DE102012201953A1
(de)
*
|
2012-02-09 |
2013-08-14 |
Singulus Technologies Ag |
Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
|
US9484233B2
(en)
|
2012-04-13 |
2016-11-01 |
Novellus Systems, Inc. |
Carousel reactor for multi-station, sequential processing systems
|
US9162236B2
(en)
*
|
2012-04-26 |
2015-10-20 |
Applied Materials, Inc. |
Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
|
KR101332337B1
(ko)
|
2012-06-29 |
2013-11-22 |
태원전기산업 (주) |
초고주파 발광 램프 장치
|
US9373517B2
(en)
|
2012-08-02 |
2016-06-21 |
Applied Materials, Inc. |
Semiconductor processing with DC assisted RF power for improved control
|
US9034770B2
(en)
|
2012-09-17 |
2015-05-19 |
Applied Materials, Inc. |
Differential silicon oxide etch
|
US9023734B2
(en)
|
2012-09-18 |
2015-05-05 |
Applied Materials, Inc. |
Radical-component oxide etch
|
US9390937B2
(en)
|
2012-09-20 |
2016-07-12 |
Applied Materials, Inc. |
Silicon-carbon-nitride selective etch
|
US9132436B2
(en)
*
|
2012-09-21 |
2015-09-15 |
Applied Materials, Inc. |
Chemical control features in wafer process equipment
|
US8969212B2
(en)
|
2012-11-20 |
2015-03-03 |
Applied Materials, Inc. |
Dry-etch selectivity
|
US8980763B2
(en)
|
2012-11-30 |
2015-03-17 |
Applied Materials, Inc. |
Dry-etch for selective tungsten removal
|
US9111877B2
(en)
|
2012-12-18 |
2015-08-18 |
Applied Materials, Inc. |
Non-local plasma oxide etch
|
US8921234B2
(en)
|
2012-12-21 |
2014-12-30 |
Applied Materials, Inc. |
Selective titanium nitride etching
|
KR20140087215A
(ko)
*
|
2012-12-28 |
2014-07-09 |
주식회사 윈텔 |
플라즈마 장치 및 기판 처리 장치
|
US8970114B2
(en)
|
2013-02-01 |
2015-03-03 |
Lam Research Corporation |
Temperature controlled window of a plasma processing chamber component
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
US9536710B2
(en)
*
|
2013-02-25 |
2017-01-03 |
Applied Materials, Inc. |
Tunable gas delivery assembly with internal diffuser and angular injection
|
US9449795B2
(en)
*
|
2013-02-28 |
2016-09-20 |
Novellus Systems, Inc. |
Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
|
US9362130B2
(en)
|
2013-03-01 |
2016-06-07 |
Applied Materials, Inc. |
Enhanced etching processes using remote plasma sources
|
US9040422B2
(en)
|
2013-03-05 |
2015-05-26 |
Applied Materials, Inc. |
Selective titanium nitride removal
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
US9493879B2
(en)
|
2013-07-12 |
2016-11-15 |
Applied Materials, Inc. |
Selective sputtering for pattern transfer
|
US9773648B2
(en)
|
2013-08-30 |
2017-09-26 |
Applied Materials, Inc. |
Dual discharge modes operation for remote plasma
|
US9576809B2
(en)
|
2013-11-04 |
2017-02-21 |
Applied Materials, Inc. |
Etch suppression with germanium
|
US9520303B2
(en)
|
2013-11-12 |
2016-12-13 |
Applied Materials, Inc. |
Aluminum selective etch
|
US9245762B2
(en)
|
2013-12-02 |
2016-01-26 |
Applied Materials, Inc. |
Procedure for etch rate consistency
|
US9287095B2
(en)
|
2013-12-17 |
2016-03-15 |
Applied Materials, Inc. |
Semiconductor system assemblies and methods of operation
|
JP6546927B2
(ja)
*
|
2014-01-15 |
2019-07-17 |
ガリウム エンタープライジズ ピーティーワイ リミテッド |
膜内の不純物を低減するための装置および方法
|
US9287134B2
(en)
|
2014-01-17 |
2016-03-15 |
Applied Materials, Inc. |
Titanium oxide etch
|
US9293568B2
(en)
|
2014-01-27 |
2016-03-22 |
Applied Materials, Inc. |
Method of fin patterning
|
US9396989B2
(en)
|
2014-01-27 |
2016-07-19 |
Applied Materials, Inc. |
Air gaps between copper lines
|
US9385028B2
(en)
|
2014-02-03 |
2016-07-05 |
Applied Materials, Inc. |
Air gap process
|
US9499898B2
(en)
|
2014-03-03 |
2016-11-22 |
Applied Materials, Inc. |
Layered thin film heater and method of fabrication
|
US9299575B2
(en)
|
2014-03-17 |
2016-03-29 |
Applied Materials, Inc. |
Gas-phase tungsten etch
|
US9299537B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9903020B2
(en)
|
2014-03-31 |
2018-02-27 |
Applied Materials, Inc. |
Generation of compact alumina passivation layers on aluminum plasma equipment components
|
US9269590B2
(en)
|
2014-04-07 |
2016-02-23 |
Applied Materials, Inc. |
Spacer formation
|
US9309598B2
(en)
|
2014-05-28 |
2016-04-12 |
Applied Materials, Inc. |
Oxide and metal removal
|
US9378969B2
(en)
|
2014-06-19 |
2016-06-28 |
Applied Materials, Inc. |
Low temperature gas-phase carbon removal
|
US9406523B2
(en)
|
2014-06-19 |
2016-08-02 |
Applied Materials, Inc. |
Highly selective doped oxide removal method
|
US9425058B2
(en)
|
2014-07-24 |
2016-08-23 |
Applied Materials, Inc. |
Simplified litho-etch-litho-etch process
|
US9378978B2
(en)
|
2014-07-31 |
2016-06-28 |
Applied Materials, Inc. |
Integrated oxide recess and floating gate fin trimming
|
US9496167B2
(en)
|
2014-07-31 |
2016-11-15 |
Applied Materials, Inc. |
Integrated bit-line airgap formation and gate stack post clean
|
US9659753B2
(en)
|
2014-08-07 |
2017-05-23 |
Applied Materials, Inc. |
Grooved insulator to reduce leakage current
|
US9553102B2
(en)
|
2014-08-19 |
2017-01-24 |
Applied Materials, Inc. |
Tungsten separation
|
US9355856B2
(en)
|
2014-09-12 |
2016-05-31 |
Applied Materials, Inc. |
V trench dry etch
|
US9368364B2
(en)
|
2014-09-24 |
2016-06-14 |
Applied Materials, Inc. |
Silicon etch process with tunable selectivity to SiO2 and other materials
|
US9478434B2
(en)
|
2014-09-24 |
2016-10-25 |
Applied Materials, Inc. |
Chlorine-based hardmask removal
|
US9613822B2
(en)
|
2014-09-25 |
2017-04-04 |
Applied Materials, Inc. |
Oxide etch selectivity enhancement
|
US9355922B2
(en)
|
2014-10-14 |
2016-05-31 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning in plasma processing equipment
|
US9966240B2
(en)
|
2014-10-14 |
2018-05-08 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning assessment in plasma processing equipment
|
US11637002B2
(en)
|
2014-11-26 |
2023-04-25 |
Applied Materials, Inc. |
Methods and systems to enhance process uniformity
|
US9299583B1
(en)
|
2014-12-05 |
2016-03-29 |
Applied Materials, Inc. |
Aluminum oxide selective etch
|
US10573496B2
(en)
|
2014-12-09 |
2020-02-25 |
Applied Materials, Inc. |
Direct outlet toroidal plasma source
|
US10224210B2
(en)
|
2014-12-09 |
2019-03-05 |
Applied Materials, Inc. |
Plasma processing system with direct outlet toroidal plasma source
|
US9502258B2
(en)
|
2014-12-23 |
2016-11-22 |
Applied Materials, Inc. |
Anisotropic gap etch
|
US9343272B1
(en)
|
2015-01-08 |
2016-05-17 |
Applied Materials, Inc. |
Self-aligned process
|
US11257693B2
(en)
|
2015-01-09 |
2022-02-22 |
Applied Materials, Inc. |
Methods and systems to improve pedestal temperature control
|
US9373522B1
(en)
|
2015-01-22 |
2016-06-21 |
Applied Mateials, Inc. |
Titanium nitride removal
|
US9449846B2
(en)
|
2015-01-28 |
2016-09-20 |
Applied Materials, Inc. |
Vertical gate separation
|
US9728437B2
(en)
|
2015-02-03 |
2017-08-08 |
Applied Materials, Inc. |
High temperature chuck for plasma processing systems
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US9881805B2
(en)
|
2015-03-02 |
2018-01-30 |
Applied Materials, Inc. |
Silicon selective removal
|
CN105118767B
(zh)
*
|
2015-07-27 |
2017-04-12 |
郑州大学 |
等离子体刻蚀设备
|
US9691645B2
(en)
|
2015-08-06 |
2017-06-27 |
Applied Materials, Inc. |
Bolted wafer chuck thermal management systems and methods for wafer processing systems
|
US9741593B2
(en)
|
2015-08-06 |
2017-08-22 |
Applied Materials, Inc. |
Thermal management systems and methods for wafer processing systems
|
US9349605B1
(en)
|
2015-08-07 |
2016-05-24 |
Applied Materials, Inc. |
Oxide etch selectivity systems and methods
|
US10504700B2
(en)
|
2015-08-27 |
2019-12-10 |
Applied Materials, Inc. |
Plasma etching systems and methods with secondary plasma injection
|
US10780447B2
(en)
*
|
2016-04-26 |
2020-09-22 |
Applied Materials, Inc. |
Apparatus for controlling temperature uniformity of a showerhead
|
JP6745134B2
(ja)
*
|
2016-05-12 |
2020-08-26 |
東京エレクトロン株式会社 |
プラズマ処理装置
|
US10522371B2
(en)
|
2016-05-19 |
2019-12-31 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US10504754B2
(en)
*
|
2016-05-19 |
2019-12-10 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US10304668B2
(en)
*
|
2016-05-24 |
2019-05-28 |
Tokyo Electron Limited |
Localized process control using a plasma system
|
US9865484B1
(en)
|
2016-06-29 |
2018-01-09 |
Applied Materials, Inc. |
Selective etch using material modification and RF pulsing
|
US10062575B2
(en)
|
2016-09-09 |
2018-08-28 |
Applied Materials, Inc. |
Poly directional etch by oxidation
|
US10629473B2
(en)
|
2016-09-09 |
2020-04-21 |
Applied Materials, Inc. |
Footing removal for nitride spacer
|
US10062585B2
(en)
|
2016-10-04 |
2018-08-28 |
Applied Materials, Inc. |
Oxygen compatible plasma source
|
US10546729B2
(en)
|
2016-10-04 |
2020-01-28 |
Applied Materials, Inc. |
Dual-channel showerhead with improved profile
|
US9934942B1
(en)
|
2016-10-04 |
2018-04-03 |
Applied Materials, Inc. |
Chamber with flow-through source
|
US10062579B2
(en)
|
2016-10-07 |
2018-08-28 |
Applied Materials, Inc. |
Selective SiN lateral recess
|
US9768034B1
(en)
|
2016-11-11 |
2017-09-19 |
Applied Materials, Inc. |
Removal methods for high aspect ratio structures
|
US10163696B2
(en)
|
2016-11-11 |
2018-12-25 |
Applied Materials, Inc. |
Selective cobalt removal for bottom up gapfill
|
US10242908B2
(en)
|
2016-11-14 |
2019-03-26 |
Applied Materials, Inc. |
Airgap formation with damage-free copper
|
US10026621B2
(en)
|
2016-11-14 |
2018-07-17 |
Applied Materials, Inc. |
SiN spacer profile patterning
|
JP2019537267A
(ja)
*
|
2016-11-21 |
2019-12-19 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
効率的なガス分配アセンブリの冷却のための同心状又は螺旋状チャンネルを備える2ゾーンフロー冷却プレートの設計
|
US10566206B2
(en)
|
2016-12-27 |
2020-02-18 |
Applied Materials, Inc. |
Systems and methods for anisotropic material breakthrough
|
US10403507B2
(en)
|
2017-02-03 |
2019-09-03 |
Applied Materials, Inc. |
Shaped etch profile with oxidation
|
US10431429B2
(en)
|
2017-02-03 |
2019-10-01 |
Applied Materials, Inc. |
Systems and methods for radial and azimuthal control of plasma uniformity
|
US10043684B1
(en)
|
2017-02-06 |
2018-08-07 |
Applied Materials, Inc. |
Self-limiting atomic thermal etching systems and methods
|
US10319739B2
(en)
|
2017-02-08 |
2019-06-11 |
Applied Materials, Inc. |
Accommodating imperfectly aligned memory holes
|
KR20180097064A
(ko)
*
|
2017-02-22 |
2018-08-30 |
삼성전기주식회사 |
안테나 장치 및 이를 구비하는 휴대 단말기
|
US10943834B2
(en)
|
2017-03-13 |
2021-03-09 |
Applied Materials, Inc. |
Replacement contact process
|
TWI649446B
(zh)
*
|
2017-03-15 |
2019-02-01 |
漢民科技股份有限公司 |
應用於半導體設備之可拆卸式噴氣裝置
|
US10319649B2
(en)
|
2017-04-11 |
2019-06-11 |
Applied Materials, Inc. |
Optical emission spectroscopy (OES) for remote plasma monitoring
|
US11276559B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Semiconductor processing chamber for multiple precursor flow
|
US11276590B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Multi-zone semiconductor substrate supports
|
US10497579B2
(en)
|
2017-05-31 |
2019-12-03 |
Applied Materials, Inc. |
Water-free etching methods
|
US10049891B1
(en)
|
2017-05-31 |
2018-08-14 |
Applied Materials, Inc. |
Selective in situ cobalt residue removal
|
US10920320B2
(en)
|
2017-06-16 |
2021-02-16 |
Applied Materials, Inc. |
Plasma health determination in semiconductor substrate processing reactors
|
US10541246B2
(en)
|
2017-06-26 |
2020-01-21 |
Applied Materials, Inc. |
3D flash memory cells which discourage cross-cell electrical tunneling
|
US10727080B2
(en)
|
2017-07-07 |
2020-07-28 |
Applied Materials, Inc. |
Tantalum-containing material removal
|
US10541184B2
(en)
|
2017-07-11 |
2020-01-21 |
Applied Materials, Inc. |
Optical emission spectroscopic techniques for monitoring etching
|
US10354889B2
(en)
|
2017-07-17 |
2019-07-16 |
Applied Materials, Inc. |
Non-halogen etching of silicon-containing materials
|
US10043674B1
(en)
|
2017-08-04 |
2018-08-07 |
Applied Materials, Inc. |
Germanium etching systems and methods
|
US10170336B1
(en)
|
2017-08-04 |
2019-01-01 |
Applied Materials, Inc. |
Methods for anisotropic control of selective silicon removal
|
US10297458B2
(en)
|
2017-08-07 |
2019-05-21 |
Applied Materials, Inc. |
Process window widening using coated parts in plasma etch processes
|
US10283324B1
(en)
|
2017-10-24 |
2019-05-07 |
Applied Materials, Inc. |
Oxygen treatment for nitride etching
|
US10128086B1
(en)
|
2017-10-24 |
2018-11-13 |
Applied Materials, Inc. |
Silicon pretreatment for nitride removal
|
US10424487B2
(en)
|
2017-10-24 |
2019-09-24 |
Applied Materials, Inc. |
Atomic layer etching processes
|
US10256112B1
(en)
|
2017-12-08 |
2019-04-09 |
Applied Materials, Inc. |
Selective tungsten removal
|
US10903054B2
(en)
|
2017-12-19 |
2021-01-26 |
Applied Materials, Inc. |
Multi-zone gas distribution systems and methods
|
US11328909B2
(en)
|
2017-12-22 |
2022-05-10 |
Applied Materials, Inc. |
Chamber conditioning and removal processes
|
US10854426B2
(en)
|
2018-01-08 |
2020-12-01 |
Applied Materials, Inc. |
Metal recess for semiconductor structures
|
US10679870B2
(en)
|
2018-02-15 |
2020-06-09 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus
|
US10964512B2
(en)
|
2018-02-15 |
2021-03-30 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus and methods
|
US11532464B2
(en)
*
|
2018-02-15 |
2022-12-20 |
Applied Materials, Inc. |
Reactor design for large-area VHF plasma processing with improved uniformity
|
TWI766433B
(zh)
|
2018-02-28 |
2022-06-01 |
美商應用材料股份有限公司 |
形成氣隙的系統及方法
|
US10593560B2
(en)
|
2018-03-01 |
2020-03-17 |
Applied Materials, Inc. |
Magnetic induction plasma source for semiconductor processes and equipment
|
US10319600B1
(en)
|
2018-03-12 |
2019-06-11 |
Applied Materials, Inc. |
Thermal silicon etch
|
US10497573B2
(en)
|
2018-03-13 |
2019-12-03 |
Applied Materials, Inc. |
Selective atomic layer etching of semiconductor materials
|
US10573527B2
(en)
|
2018-04-06 |
2020-02-25 |
Applied Materials, Inc. |
Gas-phase selective etching systems and methods
|
US10490406B2
(en)
|
2018-04-10 |
2019-11-26 |
Appled Materials, Inc. |
Systems and methods for material breakthrough
|
US10699879B2
(en)
|
2018-04-17 |
2020-06-30 |
Applied Materials, Inc. |
Two piece electrode assembly with gap for plasma control
|
US10886137B2
(en)
|
2018-04-30 |
2021-01-05 |
Applied Materials, Inc. |
Selective nitride removal
|
US11309167B2
(en)
*
|
2018-06-25 |
2022-04-19 |
Toshiba Mitsubishi-Electric Industrial Systems Corporation |
Active gas generation apparatus and deposition processing apparatus
|
US10755941B2
(en)
|
2018-07-06 |
2020-08-25 |
Applied Materials, Inc. |
Self-limiting selective etching systems and methods
|
US10872778B2
(en)
|
2018-07-06 |
2020-12-22 |
Applied Materials, Inc. |
Systems and methods utilizing solid-phase etchants
|
US10672642B2
(en)
|
2018-07-24 |
2020-06-02 |
Applied Materials, Inc. |
Systems and methods for pedestal configuration
|
US11049755B2
(en)
|
2018-09-14 |
2021-06-29 |
Applied Materials, Inc. |
Semiconductor substrate supports with embedded RF shield
|
US10892198B2
(en)
|
2018-09-14 |
2021-01-12 |
Applied Materials, Inc. |
Systems and methods for improved performance in semiconductor processing
|
US11062887B2
(en)
|
2018-09-17 |
2021-07-13 |
Applied Materials, Inc. |
High temperature RF heater pedestals
|
US11417534B2
(en)
|
2018-09-21 |
2022-08-16 |
Applied Materials, Inc. |
Selective material removal
|
US11682560B2
(en)
|
2018-10-11 |
2023-06-20 |
Applied Materials, Inc. |
Systems and methods for hafnium-containing film removal
|
US11121002B2
(en)
|
2018-10-24 |
2021-09-14 |
Applied Materials, Inc. |
Systems and methods for etching metals and metal derivatives
|
US11437242B2
(en)
|
2018-11-27 |
2022-09-06 |
Applied Materials, Inc. |
Selective removal of silicon-containing materials
|
US11721527B2
(en)
|
2019-01-07 |
2023-08-08 |
Applied Materials, Inc. |
Processing chamber mixing systems
|
US10920319B2
(en)
|
2019-01-11 |
2021-02-16 |
Applied Materials, Inc. |
Ceramic showerheads with conductive electrodes
|
JP7229061B2
(ja)
*
|
2019-03-26 |
2023-02-27 |
東京エレクトロン株式会社 |
基板のエッチング装置及びエッチング方法
|
JP7225058B2
(ja)
*
|
2019-08-19 |
2023-02-20 |
株式会社東芝 |
高周波アンテナ及びプラズマ処理装置
|
US11415538B2
(en)
*
|
2020-03-06 |
2022-08-16 |
Applied Materials, Inc. |
Capacitive sensor housing for chamber condition monitoring
|
US20220028663A1
(en)
*
|
2020-07-23 |
2022-01-27 |
Applied Materials, Inc. |
Plasma source for semiconductor processing
|
KR102603678B1
(ko)
*
|
2020-10-13 |
2023-11-21 |
세메스 주식회사 |
기판 처리 장치 및 기판 처리 방법
|