KR20000023689A - 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법 - Google Patents

다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20000023689A
KR20000023689A KR1019997000146A KR19997000146A KR20000023689A KR 20000023689 A KR20000023689 A KR 20000023689A KR 1019997000146 A KR1019997000146 A KR 1019997000146A KR 19997000146 A KR19997000146 A KR 19997000146A KR 20000023689 A KR20000023689 A KR 20000023689A
Authority
KR
South Korea
Prior art keywords
zone
plasma
inductively
source
frequency power
Prior art date
Application number
KR1019997000146A
Other languages
English (en)
Inventor
메흐다드 엠. 모슬레히
Original Assignee
메르다드 엠. 모슬레히
씨브이씨 프로덕츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 메르다드 엠. 모슬레히, 씨브이씨 프로덕츠, 인크. filed Critical 메르다드 엠. 모슬레히
Publication of KR20000023689A publication Critical patent/KR20000023689A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

처리 개스로부터 플라즈마를 생성하기 위한 제1의 개별적으로 제어되는 RF 안테나 세그먼트를 포함하는 다중-구역 고밀도 유도-결합된 플라즈마 소오스가 제공된다. 제2의 개별적으로 제어되는 코일 세그먼트가 처리 개스로부터 플라즈마를 생성하기 위해 ICP 소오스 내에 포함된다. 다양한 실시예에서, 2개 세트 이상의 개별적으로 제어되는 코일 세그먼트가 사용될 수도 있다. 각각의 코일 세그먼트에 대해 개별적으로 별도의 전원이 사용될 수도 있다. 본 발명의 또 다른 특징은 처리 오염의 가능성을 없애주며, 플라즈마 시스템의 진공 무결성 및 최종적인 베이스 압력을 개선시켜 주는 용접 밀폐된 플라즈마 소오스 구조 및 제조 방법이다.

Description

다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법{APPARATUS AND METHOD FOR MULTI-ZONE HIGH-DENSITY INDUCTIVELY-COUPLED PLASMA GENERATION}
플라즈마 처리는 반도체 집적 회로, 데이타 저장 장치(헤드 및 매질), 및 평판 디스플레이 제조와 같은 다양한 장치의 제조에 있어서 여러 제조 단계에 사용된다. 전형적으로, (플라즈마-강화, 또는 플라즈마-보조 처리라고도 알려진) 플라즈마 처리는 물리적-증기 피착(PVD), 플라즈마-강화 화학적-증기 피착(PECVD), 건식 에칭, 웨이퍼 클리닝(또는 표면 준비), 인-시튜 챔버 클리닝(in-situ chamber cleaning), 및 (플라즈마 도핑 응용이라고도 알려진) 플라즈마-이머션 이온 주입에 사용된다. 플라즈마 생성을 위한 종래 기술의 방법은 하나 또는 몇개 기법의 조합을 포함한다. 다양한 플라즈마 생성 기법에는 병렬-판 용량성 방전, (전자 싸이크로트론 공진 또는 ECR 플라즈마를 포함한) 마이크로웨이브 방전, 공동 캐도우드 방전, 및 유도-결합 플라즈마(ICP) 소오스가 있다.
고밀도 유도-결합 플라즈마 또는 ICP 소오스는 월등한 처리 능력, 산출량, 및 제어 능력으로 인해 최근 상당한 주목을 받아왔다. ICP 소오는 상당히 단순한 유도성 무선(RF) 주파수 여기(excitation)를 사용하여 고밀도(Np값이 1×1011-3내지 5×1012-3에 달하는) 플라즈마를 제공할 수 있다. 진보된 ICP 소오스 설계를 통해 1×1013-3보다 더 큰 (플라즈마 전자 밀도 또는 np에 대응하는) 상당히 높은 플라즈마 밀도를 생성할 수 있다. RF 소오스 주파수는 전형적으로 1 내지 30MHz의 범위 내에 있다(주로 13.56MHz가 선호됨). 이 범위에서 낮은 쪽 RF 주파수를 사용하면 ICP 안테나 양단에는 보다 감소된 유도 RF 전압이 생긴다. 이것은 ICP 안테나 근처의 ICP 소오스 벽 및 내측 처리 챔버의 스퍼터링 뿐만 아니라 용량성 커플링의 위험을 감소시킨다. 그러나, 더 낮은 ICP 소오스 주파수는 감소된 플라즈마 밀도 및 더 큰 RF 정합 네트워크 요소를 초래한다. 반면, 더 높은 RF 주파수는 우수한 플라즈마 밀도를 제공할 수 있으며, 더 컴팩트한 RF 정합 네트워크 요소를 사용하여 플라즈마 부하에 효과적으로 결합될 수 있다. 그러나, 안테나 양단에 발생하는 비교적 높은 유도 RF 전압으로 인해 어떠한 챔버 벽 스퍼터링도 발생하지 않도록 주의해야 한다. ICP 소오스 안테나 양단의 더 높은 유도 RF 전압은 용량성 결합 및 플라즈마 퍼텐셜 상승의 위험성을 증가시킬 수 있다.
종래의 병렬판 플라즈마에 비한 ICP의 잇점은 플라즈마 밀도와 (처리시 기판에 도달하는 이온 플럭스에 대한) 이온 에너지를 서로 독립적으로 제어한다는 것이다. 플라즈마 밀도는 1차적으로 인가된 RF 전류 또는 ICP 소오스 안테나에 전달된 전력에 의해 제어된다. 평균 이온 에너지 제어는 기판 및 웨이퍼로 인가되는 RF 바이어스에 의해 수행된다. 기판은 반도체 웨이퍼(예를 들어, 실리콘), 데이타 저장 장치(AlSiMaG 또는 AlTiC), 광전지 기판(예를 폴리실리콘 또는 실리콘), 또는 평판 디스플레이 기판(예를 들어 유리)일 수 있다.
종래 기술에서 다양한 유형의 ICP 소오스 설계가 제안되어 왔다. 여기에는, 나선형 코일 안테나 설계, 헬리콘 월(helicon wall) 소오스 설계, 및 주면형 코일 안테나 소오스 설계가 포함된다. 그러나, 모든 종래 기술의 ICP 설계는 실시간으로 플라즈마 균일성을 제어 또는 조절할 수 없다는 공통적인 제약 또는 한계를 가지고 있다. 종래 기술의 ICP 소오스는 주로 단일-구역 설계에 기초하며 하나의 RF 플라즈마 여기원(excitation source)을 갖는 단일-코일 안테나 구조를 채용하고 있다. 기본적인 종래 기술의 설계는 큰 용적의 플라즈마를 발생시키기 위해 (quartz bell zar와 같은) 수정 챔버 주위의 주면형 또는 원추형 코일을 채용하거나 소위 평면 플라즈마를 발생시키기 위해 (진공 챔버 바깥의) 유전체판 상부에 평면 나선형 코일을 채용한다. 나선형 코일 ICP 설계는 종종 평탄한 나선형 코일을 사용하지만, ICP 안테나 유전체 하우징의 표면 형상을 따르는 옵션을 제공하거나 및/또는 개선된 플라즈마 균일성을 위해 안테나 코일 자체를 제공한다.
나선형 코일 설계는 어느 정도 기술적 잇점을 가지고 있으나 심각한 제한 사항도 가진다. 나선형 코일 설계는 대기측 상의 진공 유전체판 상부에 위치시키거나 또는 에폭시 캡슐화를 사용하여 진공 챔버 내에 안테나를 위치시킬 수 있도록 허용한다. 나선형 코일 내에 직렬 접속된 몇 개의 커패시터를 위치시켜 나선형 코일 양단의 유도 RF 전압을 감소시킬 수 있는 능력을 제공한다. 이것은 구현하기가 그렇게 쉽지는 않은데, 이는 안테나 코일이 대개 수냉 알루미늄 또는 구리 배관으로 만들어지기 때문이다. 직렬 커패시터의 삽입은 인-라인 금속-대-세라믹 삽입에 의해 배관수 흐름의 중단을 필요로 한다. 불행하게도, 이것은 구조적 복잡성 및 장비 비용을 가중시킨다. 전기적 절연 플라즈마 소오스 또는 처리 챔버 주위의 주면형 코일을 갖는 ICP 소오스는 헬리콘 플라즈마 소오스와 같은 소오스 설계에 사용되는 알루미늄 산화물 튜브 또는 전기적 절연 처리 챔버 또는 플라즈마 소오스 월 물질을 필요로 한다.이들 벌크 ICP 소오스는 플라즈마 비균일성 문제가 있으며, 대개, 확장된 균일 플라즈마를 생성하기 위해 플라즈마 소오스 챔버와 처리 환경 사이에 삽입되는 다극성 자기 버킷(multipolar magnetic bucket)을 요구한다. 그러나, 기판에서의 감소된 플라즈마 밀도와 이온 플럭스 밀도로 인해 처리량이 떨어진다. 게다가, 이들 소오스는 여기 RF 안테나 근처의 플라즈마 소오스 챔버 월 물질의 스퍼터링으로 인해 오염 물질 및 입자를 생성시킬 수 있다.
ICP 코일은 일반적으로 13.56 MHz RF 소오스에 의해 구동된다. RF 전류는 안테나 코일 양단에 RF 전압을 역시 유도한다. 전계에 의해 유도되는 아크나 챔버 스퍼터링을 없애기 위해, 유도된 RF 전압의 양은 최소화되어야 한다. 이러한 조건은 최대 허용가능한 여기 인덕턴스 또는 코일 회전수에 제약을 가한다. 게다가, 주어진 코일 설계(예를 들어, 주어진 코일 회전수 또는 인덕턴스)에 대하여, 최대 허용가능한 RF 소오스 주파수에는 상한값이 있다. 또한, 주어진 종래의 ICP 설계 및 규정된 여기 RF 주파수에 대하여(예를 들어, 13.56 MHz), 최소 챔버 또는 플라즈마 소오스 월 스퍼터링 및 감소된 처리 오염을 보장하기 위해, ICP 안테나에 전달되는 최대 허용가능한 RF 전력에는 제한이 있다. 따라서, 종래 기술의 ICP 설계는 플라즈마 처리 비균일성 문제가 있으며, 보다 큰 웨이퍼 처리에 대해 용이하게 조절가능하며, (RF 전력이나 압력등에 관점에서) 비교적 좁은 유용 처리 윈도우를 가진다. 종래의 ICP 처리 설계는, 중요한 처리 상태 또는 기판 상태 파라미터와 절충하지 않고 실시간 플라즈마 균일성 제어할 수 있는 직접적인 방법을 제공하지 않는다.
진보된 플라즈마 제조 공정은 전체 웨이퍼 표면에 걸쳐 월등한 플라즈마 밀도와 이온 플럭스 균일성 제어를 필요로 한다. 고밀도 플라즈마 소오스에서의 플라즈마 균일성 요건은 처리 균일성 요건 및 장치 손상에 대한 고려라는 양쪽 모두에 의해 좌우된다. 전형적으로, 플라즈마 비균일성은 손상이 없는 균일한 처리를 보장하기 위해 5%(3-시그마 값)보다 작아야 한다. 많은 종래의 ICP 소오스 설계는 다양한 플라즈마 처리 응용에 대해 이러한 엄격한 처리 비균일성 요건을 만족하지 못한다.
발명의 요약
본 발명에 따르면, 앞서 언급한 ICP 처리 방법 및 시스템에 관련된 불리한 점들 및 문제들을 상당히 제거하거나 감소시키는 다양한 장치 제조 공정에 적용할 수 있는 유도-결합된 플라즈마 환경을 생성하기 위한 방법과 시스템이 제공된다. 본 발명의 ICP 처리 방법 및 시스템은 반도체, 데이타 저장 장치, 평판 디스플레이, 및 광전지 장치의 제조에 적용가능하다.
본 발명의 한 특징에 따르면, 처리 개스로부터 제1 플라즈마 구역을 생성하기 위한 제1의 개별적으로 제어되는 유도 코일을 포함하는 다중-구역 고밀도 유도-결합된 플라즈마 소오스 구조와 그 이용 방법이 제공된다. 제2의 개별적으로 제어되는 유도 코일은 처리 개스로부터 제2 플라즈마 구역을 생성하기 위한 ICP 소오스 내에 포함된다. 다양한 실시예에서, 2개 이상의 개별적으로 제어되는 유도 코일이 사용될 수도 있다. 한 실시예에서, 각각의 ICP 코일 구역에 대해 독립된 RF 전원이 사용될 수도 있다. 각각의 ICP 코일 구역은 단일의 코일 세그먼트이거나 적어도 하나의 직렬 커패시터를 이용하여 접속된 한 세트의 코일 세그먼트일 수 있다.
본 발명의 또 다른 특징은 종래의 단일-구역 ICP 구조 뿐만 아니라 본 발명의 다중-구역 ICP 구조에도 적용될 수 있는 용접-밀폐된 ICP 소오스 구조 및 제조 방법이다. ICP 안테나와 그 캡슐화 하우징을 하나의 용접-밀폐된 구조로 형성함으로써, 본 발명은 ICP 여기 안테나 및 관련된 하우징에 대한 다양한 봉합 및 캡슐화에 대한 필요성을 없애준다. 게다가, 본 발명의 모놀리식 ICP 구조와 제조 방법은 보다 나은 ICP 구조의 무결성, 플라즈마 소오스 신뢰성, 및 처리 환경의 진공 무결성을 제공한다.
본 발명의 다중-구역 ICP 소오스에서, RF 전류 크기(및 위상)은 각각의 구역에 독립적으로 조절될 수 있다. 본 발명의 다중-구역 ICP 소오스 설계는 종래의 단일-구역 설계에 비해 몇 가지 잇점을 제공한다. 여기에는, (1) 실시간 균일성 제어를 위해 제공되는 특징의 결과, 상당히 개선된 플라즈마 균일성(플라즈마 밀도 및 이온 전류 밀도); (2) 다중-구역 플라즈마 조절 능력에 기인한 더 넓은 처리 윈도우; (3) 증가된 플라즈마 밀도 및 이온 플럭스 밀도; (4) (300-mm 또는 그 이상의 실리콘 웨이퍼 및 평판 디스플레이 기판과 같은) 큰 기판 처리에 대해 조절가능한 설계; (5) 전계에 의해 유도되는 챔버 및 플라즈마 소오스 월 재료의 아크 또는 스퍼터링이 발생하지 않도록 보장하기 위한 구역당 코일 회전수의 감소; (6) 적절한 인-시튜 감시 센서를 사용하여 균일성을 포함한 플라즈마 처리 파라미터들의 실시간 또는 실행별 제어 능력; (7) 향상된 장치 제조량을 위한 개선된 제조 장비 청결성; (8) 증가된 플라즈마 처리 산출량; 및 (9) 개선된 ICP 소오스 무결성에 기인한 개선된 플라즈마 장치 신뢰성 및 처리 반복성/성능이 포함된다.
다중-구역 ICP 소오스 설계는 반도체 장치, 데이타 저장 장치, 광전지 장치, 및 평판 디스플레이에도 적용가능하다. ICP 구역의 개수 선택은 ICP 소오스 직경, 기판 크기, 소오스 설계 유형, 최대 총 RF 전력, 및 플라즈마 처리량 요건을 포함한 몇 가지 파라미터 및 고려 사항에 따라 다르다. 반도체 장치의 대부분의 실제적인 응용에 대해, ICP 소오스 구역의 개수는 2개 내지 4개이지만, 상당히 넓은 기판 처리에 대한 응용과 같은 다른 응용에서는 더 많은 수의 구역이 사용될 수 있다. 예를 들어, 2-구역 ICP 소오스 (안테나) 설계는 150-nm 또는 200-nm 반도체 웨이퍼의 처리를 위해 사용되는 플라즈마 장치에서 상대적인 가장자리로부터의 중심으로의 플라즈마 처리 균일성을 조절하는데 이용될 수 있다. 2개 내지 5개의 여기 구역을 갖는 ICP 소오스 설계는 200-mm 또는 300-mm 실리콘 웨이퍼의 처리에 사용된다. 따라서, 본 발명의 기술적 잇점은 균일한 플라즈마 처리를 위해 적어도 2개의 개별적으로 제어되는 코일 세그먼트를 사용하여 다중-구역 고밀도 플라즈마 소오스 구조를 제공한다는 것이다. 본 발명의 다중-구역 ICP 소오스 구조는 종래의 ICP 소오스 제작 및 어셈블리 방법(즉, 유전체 진공판에 인접한 처리 챔버 외부에 위치하거나 ICP 진공 처리 챔버 내의 전기적 절연 하우징에 내에 캡슐화된 2개의 냉각된 코일 또는 온도-조절되는 코일)을 이용하거나, 진공 환경 내에 위치한 용접-봉합된 안테나 구조를 사용하여 만들어질 수 있다.
본 발명의 또 다른 기술적 잇점은 본 발명의 다중-구역 ICP 소오스 구조 뿐만 아니라 종래의 단일-구역 ICP 구조 모두에 적용될 수 있는 용접-봉합된 ICP 소오스 제조 구조 및 방법을 제공한다는 것이다. 이 방법과 구조는 5×10-9Torr 또는 그 이하의 초 고진공 기반의 압력에 호환되는 높은 완성도의 ICP 소오스 구조를 제공함으로써 일레스토머 O-링 봉합 및 별도의 ICP 안테나 에폭시 캡슐화의 필요성을 없애준다.
본 발명의 역시 또 다른 기술적 잇점은 전-웨이퍼 간섭계 센서(full-wafer interferometery sensor) 및 공간 분해 광방출 센서(spatially resolved optical emission sensor)와 같은 일부의 유용한 플라즈마 상태 및 웨이퍼 상태 센서의 구현을 위해 사용될 수 있는 인-시튜 센서 뷰포트 설계이다. 전-웨이퍼-뷰 광학 포트는 ICP 소오스의 중심에 용접 밀폐된 광학적 윈도우 또는 플러그를 사용한다. 이 포트는 (전-웨이퍼 간섭계 센서와 같은) 처리 균일성 감시 센서와 함께 본 발명의 다중-구역 ICP 설계 구조를 사용하여 실시간(또는 실행별) 플라즈마 처리 균일성 제어를 가능하게 한다.
본 발명은 일반적으로 플라즈마 처리 방법 및 시스템에 관한 것으로, 보다 구체적으로는, 반도체, 데이타 저장 장치, 평판 디스플레이, 광전지, 및 다중-칩 모듈 장치를 제조하는데 사용되는 플라즈마-보조 제조 공정을 위한 고밀도 유도-결합된(inductively-coupled) 플라즈마 생성을 위한 장치 및 방법에 관한 것이다.
도 1은 2개-구역 ICP 소오스로 구성된 본 발명의 다중-구역 ICP 소오스의 제1 실시예의 단면도.
도 2는 도1의 실시예에 대한 중간 세라믹 ICP 또는 유전체판에 임베딩된 2개-구역 유도-결합된 플라즈마 안테나부를 도시하는 도면.
도 3은 도1의 실시예 (제1 실시예)의 상부 세라믹 또는 유전체판의 단면도.
도 4는 도1의 실시예 (제1 실시예)의 다중-구역 ICP 실시예에 대한 개스 확산 공동(gas dispersion cavity) 및 그 관련된 개스 주입구를 가리키는 중간 유전체판의 하부면도.
도 5는 본 발명의 다중-구역 ICP 구조의 제2 실시예의 제1 단면도.
도 6은 본 발명의 도5의 실시예 (제2 실시예)의 제2 단면도.
도 7은 도5의 실시예(제2 실시예)의 중간 유전체판의 단면도.
도 8은 본 발명의 도5 실시예의 용접 밀폐된 코일 구조 즉 제2 실시예의 중간 유전체판의 상부면도.
도 9는 전기적 RF에 대한 관통로, 개스 주입, 및 냉각수를 가리키는 도5 실시예의 상부면 진공판의 정면도.
도 10은 향상된 ICP 처리 속도를 위해 최소 ICP 소오스 대 기판 간격을 더 작게 해주기 위해 진공판과 ICP 하우징간의 거리가 증가되어 있는 제2 다중-구역 ICP 실시예의 수정판의 단면도.
도 11은 높은 능력의 플라즈마 보조 처리 응용을 위해 진공 처리 챔버에 장착된 도 10의 제2 ICP 실시예의 수정된 판의 단면도.
도 12는 본 발명의 또 다른 (제3) 실시예의 단면도.
도 13은 도 12의 실시예의 (제3 실시예) 제2 단면도.
도 14는 도 12 실시예의 (제3 실시예)의 중간 유전체판의 단면도.
도 15는 본 발명의 역시 또 다른 실시예 (제4 실시예)의 제1 단면도.
도 16은 본 발명의 도 15의 실시예 (제4 실시예)의 제2 단면도.
도 17은 처리 개스 주입을 위한 관통로, 냉각류, 및 전기적 접속을 가리키는 도15의 실시예 (제4 실시예)의 진공판의 상부면도.
도 18은 방사형의 낮은 릴럭턴스 자기 막대 위치를 가리키는, 도 17에 도시된 진공판의 하부면도.
도 19는 본 발명의 도 15 실시예(제4 실시예)에 대한 하부 유전체판의 단면도.
도 20은 도 19의 하부 유전체판의 상부면도.
도 21은 도 15 실시예(제4 실시예)에 대한 상부 유전체판의 하부 정면도.
도 22는 본 발명의 다중-구역 ICP 구조 (예로서 3-구역 ICP 구조)를 이용한 진공 처리 챔버의 전체적인 개략도.
도 23은 입력된 개스가 2그룹으로 분할되는 도 17의 ICP 소오스에 대한 2-매니폴드 개스 분산 배열의 개략도.
도 24A는 도 17의 다중-구역 ICP 소오스에 대한 3-구역 ICP 구성의 개략적 전기 결선도.
도 24B는 도 17의 다중-구역 ICP 소오스에 대한 2-구역 ICP 구성의 개략적 전기 결선도.
도 25A는 가변 커패시터 배열에 기초한 단일 RF 전원에 대한 3-구역 ICP 구성의 개략적 전기 결선도.
도 25B는 가변 공기 코아 변압기에 기초한 단일 RF 전원에 대한 3-구역 ICP 구성의 개략적 전기 결선도.
본 발명의 양호한 실시예가 도면에 도시되어 있다. 유사한 부분에는 유사한 참조 번호가 할당되어 있다.
도 1 내지 도 4에 기술된 본 발명의 실시예는 플라즈마 에칭, PECVD, 표면 세정, 플라즈마 하이드로지네이션, 및 다른 플라즈마-보조 제조 공정을 포함한 다양한 고밀도 플라즈마 응용에 대한 용접-밀폐된 다중-구역 (MHZ) ICP 소오스이다. 본 발명의 개념은 2개-구역 ICP 소오스 뿐만 아니라 임의의 개수의 (2, 3, 4 등) ICP 여기 구역에도 역시 적용된다. 게다가, 본 발명의 양호한 실시예는 유전체 진공 윈도우의 필요성을 없애고 챔버 진공 베이스 압력을 감소시켜 모든 금속-봉합된 ICP 소오스 및 처리 챔버의 진공 완전성을 개선시키는 것이 가능하도록 하기 위해 ICP 진공 처리 챔버 내에 HMZ ICP 소오스를 구현하기 위한 것이다. 그러나, 본 발명의 HMZ ICP 소오스는, 진공 챔버로부터 소오스를 분리하기 위한 유전체 진공 윈도우를 사용하여 진공 챔버 바깥에 구현될 수도 있다는 것을 이해해야 한다.
도 1 내지 도 4의 HMZ ICP 소오스는 6개-구역 샤워헤드 개시 주입기와 관련한 설계를 제공한다. 그러나, HMZ ICP 소오스는 단일-구역 샤워헤드나 임의의 개수(예를 들어 1 내지 10개 구역)의 독립적으로 제어되는 샤워헤드 구역을 갖는 다중-구역 헤드와 함께 사용해도 역시 호환된다. 표면 세정과 같은 몇몇 응용은 단일-구역 샤워헤드만을 필요로하는 반면, PECVD와 같은 다른 응용은 다중-구역 개스 흐름 조절을 경유해 개선된 처리 균일성 제어를 위한 복수의 샤워헤드 구역을 이용함으로써 혜택을 볼 수도 있다. 다중-구역 샤워헤드는 균일 PECVD 응용에 특히 유용한 처리 개스 대량 전송 프로파일의 방사형(radial) 제어를 허용한다. 게다가, 다중-구역 샤워헤드를 이용하여, 개스를 예비혼합하지 않고 복수의 개스의 주입에 소오스가 이용될 수 있다. 그 결과, 복수의 개스의 혼합은 플라즈마 처리 환경에서 발생하며 배관 개스관의 내부나 ICP 소오스 내부에서는 발생하지 않는다. CVD 응용에서의 2원 개스 시스템에서와 같이 복수의 처리 개스의 분리는 기상 응집 및 샤워헤드 내에서의 미립자 발생의 가능성을 제거할 수 있다. 예를 들어, 실리콘 이산화물 피착에 사용되는 PECVD 공정에서, 처리 개스 시스템은 SiH4및 N2O를 포함할 수 있다. 본 발명의 다중-구역 샤워헤드 배치를 사용하여, 이들 개스들은 분리되어 교대로 인접한 샤워헤드 구역 내에 2원 시스템으로서 주입될 수 있다.
이러한 사항은 도1에 보다 상세히 도시되어 있다. 도1은 수냉식 또는 온도 조절식의 금속 상부 진공판(102)을 포함하는 HMZ ICP 소오스(100)를 도시한다. 금속 상부 진공판(102)는 스테인레스강이나 알루미늄으로 형성되며 상부 유전체판(104)에 인접해 있다. 상부 유전체판(104)는 샤워헤드 주입판(108)에 용접결합된 중간 유전체판(106)에 용접봉합 또는 접합된다. 광학 플러그(또는 윈도우, 110)은 금속 상부판(102), 상부 유전체판(104), 중간 유전체판(106), 및 샤워헤드 주입판(108)을 관통하며, 제조 공정 전체에 걸쳐 웨이퍼 전체 표면에 광학 뷰포트를 제공한다. 광학 뷰포트는 공간 분해 플라즈마 방출 센서와 같은 적절한 광학 센서를 사용하여 플라즈마 처리 파라미터들의 감시에도 역시 이용될 수 있다.
금속 상부판(102)는 다양한 관통로 또는 관통부를 제공하며, 일부는 냉각제 (예를 들어, 물) 흐름을 위한 것이고, 또 일부는 ICP 개스 주입을 위한 것이고, 나머지는 전기적 RF 관통로를 위한 것이다. 예를 들어, 제1 세트의 샤워헤드 개스 주입구(112, 114, 및 116)은 각각의 샤워헤드 구역 확산 공동(118, 120, 및 122) 내에 처리 개스를 주입하기 위해 금속 상부판(102)를 관통하여 상부 유전체판(104) 내의 홀들로 향한다. 샤워헤드 구역 공동(118, 120, 및 122)는 샤워헤드 주입판(108)의 주입홀(124, 126, 및 128)의 링으로 처리 개스를 향하게 한다. 마찬가지로, 샤워헤드 주입구(130, 132, 및 134)는 금속 상부판(102)를 관통하여 상부 유전체판(104)에 접합되며, 각각의 샤워헤드 구역 확산 공동(136, 138, 및 140) 내에 처리 개스를 주입하기 위해 중간 유전체판(106) 내의 홀들로 향한다. 관련된 샤워헤드 구역 공동(136, 138, 및 140)으로부터, 플라즈마 처리 개스는 샤워헤드 주입판(108)의 개스 주입홀(142, 144, 및 146)들 중 몇 개의 링을 관통한다.
또한, RF 관통로(150 및 152)를 포함한 복수개의 전기적 RF 관통로가 진공 하부판(102)를 관통한다. 예를 들어, RF 관통로(150)은 RF 관통로 접속(154)를 통해 접속되고, 금속 상부판(102)의 채널(156)을 관통하며, 상부 유전체판(1004)를 통해 계속되며, (2개-구역 HMZ ICP 소오스의 일부를 이루는) ICP 안테나 RF 코일 세그먼트(173)과 접촉할 때까지 중간 유전체판(106)의 냉각수 채널(160) 속으로 계속된다.
용접-밀폐된 ICP 소오스(100)은 RF 전력 전달 및 ICP 플라즈마 생성 공정에 따른 오옴성 전력 손실에 의해 발생되는 열을 제거하기 위해 (수냉식 냉각과) 같은 2개의 냉각 구역을 포함한다. 제1 구역은 금속 상부판(102)를 관통하는 주입 채널(102)를 포함하며, 상부 유전체판(104)에 접합되어 중간 유전체판(106)의 냉각수 채널(164)에 냉각수를 제공한다. 중간 유전체판(106)은, 예를 들어, 물이 냉각 채널(164)로 들어가 냉각 채널(160)을 관통하여 결국은 냉각 채널(166)에 들어가는 냉각 경로를 내부적으로 제공한다. 냉각 채널(166)으로부터, 냉각수는 배출구(168)을 통해 ICP 소오스로부터 빠져 나간다. 배출구(168)은 구역1 냉각 채널로부터 냉각수를 받아, 계속적인 열 제거 동작을 수행하는 냉각 채널(164, 160, 및 166)을 통해, 냉각수 주입구(162)부터의 냉각수의 계속적인 흐름을 허용한다. 용접-밀폐된 ICP 소오스(100)의 제1 구역에서, 구역-1 RF 접촉부(170)은 RF 전류가 구역-1의 각각의 도금된 (또는 몰딩되거나 삽입된) 코일 세그먼트(172, 173, 및 174)를 통해 흐르는 경로를 제공한다.
도1에 기술된 용접 밀폐된 ICP 소오스(100)에 대한 마지막 설명으로서, 금속 상부판(102)에는, (인-라인 절연 세라믹 튜브 삽입물을 통해) 구역-1 입구 채널(162)에 접속된 냉각 채널(176)을 포함한 냉각수를 받아들이기 위한 냉각 채널 관통로, 및 (전기적 절연을 위한 인-라인 절연 세라믹 튜브 삽입물을 통해) 구역-1 출구 채널(168)에 접속된 냉각 채널(178)이 있다. 냉각수 입구(180)은 용접-밀폐된 ICP 소오스(100)의 구역-2의 코일 세그먼트(194) 및 그 주변 영역을 냉각시키기 위해 인-라인 절연 세라믹 삽입물(168)에 접속된다. 중간 유전체판(106)에서, 냉각제는 코일 세그먼트(190) 및 그 주변 환경을 냉각시키기 위해 냉각수 채널(188)을 통과한다. 냉각 채널(188)로부터, 중간 유전체판(106)에서 냉각수는 냉각 채널(192)로 흘러 용접-밀폐된 ICP 소오스의 구역-2의 코일 세그먼트(194)와 그 주변 영역을 냉각시킨다. 후속해서, 냉각수는 냉각제 아웃라인(197)을 경유해 구역-2 바깥으로 흘러나온다.
중간 유전체판(106)에서, 냉각수 채널(164, 160, 166, 184, 188, 및 192)는 점진적으로 더 깊게 도시되어 있다. 이러한 구성은 각각의 코일 세그먼트(174, 173, 173, 186, 190, 및 194)의 수직 궤적(vertical contouring)을 가능하게 해 준다. 이러한 ICP 안테나 궤적(볼록 또는 오목 궤적)은 ICP 균일성 성능을 최적화시키기 위한 추가적인 설계 파라미터를 제공한다. 샤워헤드(108)은 전기 절연성의 열전도성 재료로 만들어지며, 양호하게는 알루미늄 나이트라이드(AlN), 알루미늄 옥사이드(Al2O3), 및 보론 나이트라이드(BN)과 같은 세라믹 물질로 형성된다. 마찬가지로, 상부 및 중간 유전체판(104 및 106)도 AlN, Al2O3, 및 BN과 같은 적절한 전기 절연성의 열전도성 물질로 만들어져야 한다. 적절하게는, 용접-밀폐된 ICP 소오스(100)의 판들간의 접촉 계면은 초청정 플라즈마 처리를 위한 밀폐된 ICP 안테나 캡슐화 하우징을 만들기 위해 (양호하게는 열 접합 공정을 사용하여) (고온 큐어링된 UHV-호환 에폭시와 더불어) 용접 접합 또는 용접 융합되거나 접속된다. 예를 들어, 금속 상부 진공판(102)와 상부 유전체판(104)는 (예를 들어, 인듐을 사용한) 열접합 또는 엑폭시 접합 공정을 사용하여 융합되거나 용접 접합된다. 상부 유전체판(104) 및 중간 유전체판(106) 및 샤워헤드 주입판(108)도 역시 그 접촉 접합부에서 함께 접합된다. 4개 판 모두 인듐이나 다른 적절한 접합 또는 남땜 물질을 사용한 단일 열 접합 처리를 사용하여 함께 접합될 수 있다.
광학 플러그(110)은 플라즈마 처리 환경의 검사나 관찰을 위한 뷰포트를 제공한다. 광학 플러그(110)의 상부에는 용접 밀폐된 플랜지(200)을 통해 금속 상부판(102)에 접속 또는 (금속이나 결선 봉합을 이용하여) 봉합된 뷰포트(198)이 있다. 용접-밀폐된 ICP 소오스는, 플라즈마 처리 챔버 내의 ICP 소오스를 지지하고 1×10-9Torr이하로 UHV 베이스 압력을 설정할 수 있도록 수냉식 (또는 공랭식) 금속(스텐레스강) 상부 진공판(102)를 사용한다. 금속 상부판(102)는 모든 필요한 관통로(RF, 개스 주입구, ICP 코일 채널에 대한 냉각수 입/출구)도 역시 제공하며, ICP 처리 챔버에 (예를 들어 금속 밀폐를 사용한) 진공 밀폐를 제공한다. 금속 상부 진공판(102) 냉각은 세라믹 하우징에서 코일 채널을 통한 ICP 소오스 냉각제(물) 흐름을 이용하여 간접적으로 수행하거나, 금속 진공 상부판(102) 자체 내의 별개의 임베딩된 냉각 채널을 이용하여 직접적으로 수행될 수 있다.
따라서, 도 1 실시예의 용접-밀폐된 ICP 소오스(100)은 (2개-구역 ICP 구조에 대해) 2개의 RF 전원, 6개 코일 세그먼트 및 6쌍의 전기적 접촉부에 대한 12개의 전기적 RF 관통로, (2개의 입구 및 2개의 출구를 포함한) 4개의 냉각제 관통로, 및 (6개의 주입 구역이 있다고 가정할 때) 6개의 처리 개스 주입구로 구성되어 있다. 그러나, 용접 밀폐된 ICP 소오스(100)의 상기 2개-구역 ICP 코일 구성에 대해, ICP 세라믹 하우징 중간 절연체판 내에서 구역-1과 구역-2 냉각수 채널을 직렬로 접속함으로써, 외부 냉각제 관통로의 개수는 2개로 감소될 수 있다.
도 2는 본 실시예의 2개-구역 안테나 구성을 포함한 중간 유전체 또는 세라믹 절연판의 상부면도이다. 다중-구역 ICP 안테나(202)는 용접-밀폐된 ICP 소오스(100)의 중간 유전체판(106) 내에 제조된다. 중간 유전체판(106)은 AlN, Al2O3, 또는 BN과 같은 열전도성의 전기 절연 물질로 이루어진다. 점선(206)에 의해 개념적으로 다른 ICP 발생을 위한 2개의 RF 안테나 구역이 ICP 소오스 중간 유전체판(106)에 형성되어 있다. 예를 들어, 안테나 세그먼트(172, 173, 및 174)에 대응하는 점선(106)의 바깥의 ICP 안테나(202) 부분은 구역-1 안테나(202)를 형성하는 반면, 안테나 세그먼트(186, 190, 및 194)를 포함하는 점선(206) 내의 부분은 구역-2 안테나(210)을 형성한다.
도 2에 도시된 바와 같이, 구역-2 안테나(210)의 중심부에 광학 뷰포트 플러그(110)의 관통로 홀이 보인다. 구역-1 즉, 외곽측 구역 안테나(208)은 도1에 처음부터 있었던 도금된 (또는 스퍼터링이나 도금의 조합으로 이루어진, 또는 삽입된 파열된 링 모양의) 코일 세그먼트(172)에 접속된 외곽측 RF 접촉부(211)에서 시작한다. 코일 세그먼트(172)는 원형 경로를 이루는 파열된 링 모양으로 형성되어 RF 터미널 접촉부(212)까지 계속된다. 외곽측 구역 코일 세그먼트(172) 위의 냉각제 그루브(groove)(164)는 중간 유전체판(106)의 점프 냉각수 채널(214)를 경유해 인접한 외곽측 구역 코일 세그먼트(173) 냉각제 채널(160)에 직렬로 접속된다. ICP 코일 세그먼트(173)에 접속된 (도1의) RF 터미널 접촉부(150)은 도금되지 않은 (전기적 절연의) 점프 냉각수 채널(214)에 접속된다. ICP 코일 세그먼트(173)은 파열된 링 모양으로 형성되며 중간 유전체판(106) 주위에 원형 경로를 취하며 RF 터미널 접촉부(216)에 접속된다. 외곽측 구역 세그먼트(173)의 냉각제 그루브(160)은 RF 접촉부(216 및 220) 간의 도금되지 않은, 즉, 도전체가 없는 냉각제 채널(218)을 경유해서 외곽측 구역 세그먼트(174)의 냉각제 그루브 채널(166)에 직렬로 접속된다. RF 터미널 접촉부(220)은 외곽측 구역 RF 접촉부(170)까지 파열된 링 모양의 원형 경로를 취하는 외곽측 구역 도금된 (또는 도전체가 씌워진) 코일 세그먼트(174)에 접속된다.
내측 구역 즉, 구역-2 안테나(210)은 내측 구역 코일 세그먼트(186)에 접속된 RF 접촉부(222)에서 시작한다. 코일 세그먼트(186)은 파열된 링 모양의 원형 패턴을 이루며 RF 터미널 접촉부(222 및 224)에 접속된다. 내측 구역 코일 세그먼트(186)의 냉각제 그루브 채널(184)는 도금되지 않은 (도전체가 없는 또는 전기적으로 절연의) 냉각제 채널 점퍼(226)를 경유해 코일 세그먼트 냉각제 그루브 채널(188)에 접속된다. 내측 구역 코일 세그먼트(190)은 RF 접촉부(227 및 228) 사이의 냉각제 그루브 채널(188) 하부에서 (도금된 또는 삽입된 도전체를 이용하여) 파열된 링 모양으로 배치된다. 내측 구역 코일 세그먼트(190)의 냉각제 그루브 채널(188)은, 도금되지 않은 (도전체가 없는 또는 전기적으로 절연의) 냉각제 채널(230)을 경유하여 코일 세그먼트(194)의 내측 구역 냉각제 그루브 채널(192)에 접속된다. RF 접촉부(224 및 227)은 RF 커패시터를 경유하여 외부적으로 함께 접속된다. 마찬가지로, RF 접촉부(228 및 232)는 또 다른 외부 RF 커패시터를 경유하여 서로 접속된다. (ICP 소오스의 대기측 상의) 이들 외부 커패시터 접속은 감소된 유도 전압을 위해 2개의 직렬 커패시터를 갖는 3-회전수의 내측 구역 코일을 생성한다. 내측 구역 RF 전원 접촉부는 RF 접촉부(222 및 234)이다. RF 접촉부(234)는 내측 구역 RF 접촉부(232)에 접속되며 링 모양으로서 광학 뷰포트 플러그(110)을 둘러싸는 코일 세그먼트(194)에 접속된다. ICP 안테나(202)에서 알 수 있는 바와 같이, 구역-2 안테나 부(208)은 2개의 독립된 RF 전원을 사용하여 구역-1 안테나(210)에 독립적으로 동작할 수 있다.
따라서, 도 2의 용접-밀폐된 ICP 소오스(100) 안테나(202)는 각각의 3-회전수를 갖는 2개의 유도 안테나 구역을 포함한다. 예를 들어, 각각의 구역에서 2-회전수를 갖는 3개-구역 ICP 소오스를 이루기 위해 다른 외부 결선 구조를 갖는 동일한 설계가 이루어질 수도 있다. 3개-구역 ICP 구성 또는 더 많은 구역을 갖는 다른 설계도 본 발명의 영역에 포함되지만, 2개-구역 ICP 설계 구성에 중점을 두고 본 설명의 나머지 부분이 이루어질 것이다. 도 1 내지 도 4의 설계는 상기 ICP 소오스 구조에서의 변경없이 외부로부터 전기적 구성이 가능하다.
도 2에 도시된 바와 같이, 금속 상부판(102)에 초고진공(UHV) 호환 전기 RF 관통로 및 코일 세그먼트에 부착된 스프링-부착된(또는 납땜된) 전선을 사용하여 6-코일 회전에 6쌍의 RF 접촉부가 만들어진다. 이들 12개 전기 접촉부는 다중-구역 세그먼트 터미널 211(Z11), 212(Z12), 150(Z13), 216(Z14), 220(Z15), 170(Z16), 222(Z21), 224(Z22), 227(Z23), 228(Z24), 232(Z25), 및 234(Z26)에 접속된다. 각각의 구역에서 6-코일 회전을 갖는 2개-구역 용접-밀폐된 ICP 소오스(100) 구성에 대해, 외부의 전기적 결선 및 커패시터 접속은 다음과 같다: 전기적 RF 접촉부 211(Z11) 및 170(Z16)은 제1 구역 RF(예를 들어, 13.56 MHz) 전원에 접속된다. 외부 커패시터 터미널 접촉부 212(Z12) 및 150(Z13)은 외부 RF 커패시터를 경유해 접속된다. 외부 커패시터 터미널 접촉부 216(Z14) 및 170(Z15)은 제2 RF 커패시터를 경유해 접속된다. 이것으로 3-코일 회전 및 2개의 직렬 접속된 외부 커패시터를 포함하는 ICP 구역-1 안테나(208)의 형성이 끝나게 된다. ICP 구역-2 안테나(210)은 전기적 RF 터미널 접촉부 222(Z21) 및 234(Z26)을 제2 전원에 접속하여 구성된다. 한 외부 RF 커패시터는 RF 터미널 접촉부 224(Z22) 및 227(Z23)을 접속하는데 사용되는 반면 다른 RF 커패시터는 RF 터미널 접촉부 228(Z24) 및 232(Z25)를 함께 접속하는데 사용된다. 2개의 전원들간의 위상각을 조절하기 위해 위상 이동기/제어기가 사용될 수도 있다. 게다가, ICP RF 소오스들 중 임의의 하나와 기판 바이어스 RF 전원간의 위상각을 조절하기 위해 또 다른 위상 이동기가 사용될 수 있다. 원한다면, 도 1 내지 도 4의 ICP 소오스(100)의 설계는 n-구역 ICP 소오스(n=1, 2, 3, 4 또는 그 이상)로서 동작하도록 외부적으로 구성될 수도 있다. 각 구역에서의 코일 회전수는 외부 결선의 설계 및 직렬 RF 커패시터 배열에 의해 선택될 수 있다.
도 3은 접합된 ICP 관통로를 포함한 상부 유전체판(104)의 보다 상세한 구성을 도시한다. 상부 유전체판(104)는 알루미늄 나이트라이드(AlN), 보론 나이트라이드, 또는 알루미늄 옥사이드와 같은 열전도성 및 전기 절연성의 세라믹 재료로 형성될 수도 있다. 본 실시예에서, 상부 유전체판(104)는 3개의 안테나 구역 및 6개의 샤워헤드 구역에 대해 수개의 접합 관통로를 갖는다. 좌측 관통로 섹션(240)에서, ICP 안테나 구역-1 전기적 관통로(150)은 도 2의 구역-1 RF 안테나(208)의 RF 접촉부들 중 하나에 접속된다. ICP 구역-1 세그먼트에 대해 6개의 전기적 RF 관통로가 있으며, ICP 구역-2 세그먼트에 대해 또 다른 6개의 전기적 RF 관통로가 있다. 우측 관통로 섹션(242)에서, ICP 안테나 구역-2 전기적 관통로(152)는 도 2의 구역-2 RF 안테나(210)의 RF 접촉부들 중 하나에 접속된다. 상부 유전체판(104)는 샤워헤드 주입기 확산 공동(6개의 샤워헤드 구역이 도시되어 있음)으로의 개스 흐름 접속을 위해 필요한 관통로 입구(112, 114, 116, 130, 132, 및 134)도 역시 포함한다. 마찬가지로, 상부 유전체판(104)는 구역-1 냉각제 입구(162) 및 구역-1 냉각제 출구(168)에 대해 접합된 튜브를 통해 냉각수가 흐를 수 있도록 허용한다. 상부 유전체판(104)는 구역-2 냉각제 입구(180) 및 구역-2 냉각제 출구(197)에 대해 2개의 접합된 튜브를 포함한다. RF 관통로를 제외하고, 모든 접합된 관통로(개스 주입 입구 및 냉각제 입구/출구 튜브)는 상부 유전체의 하부면과 같은 높이를 유지한다.(또는 이들은 유전체판의 두께부분 일부에 튜브를 부분적으로 삽입함으로써 유전체 홀들에 접합될 수 있다)
따라서, 도 3은 (개스 주입 및 수냉식 냉각을 위한) 모든 접합된 배관, 및 전기적 RF 커넥터를 갖는 상부 유전체판(104)를 도시한다. 다중-구역 주입기를 갖는 ICP 소오스에 대해, 다중 개스 주입 튜브들이 사용되는 반면 단일-구역 샤워헤드를 갖는 ICP 소오스에 대해, 단일 개스 주입 튜브가 사용될 수 있다. 도 3은 4개의 수냉식 튜브(162, 168, 180, 및 197)을 도시한다. 원한다면, 도전체 그루브를 포함하는 ICP 안테나 그루브들을 포함하는 중간 유전체판에 있는 파열된 링들 사이에 추가된 냉각제 그루브 세그먼트들(w/o 금속화 또는 도전체 점퍼/링크)에 의해 ICP 안테나 냉각 채널들을 내부적으로 상호접속함으로써, 수냉식 관통로 튜브의 개수를 2개로 감소시킬 수 있다.
도 4는 본 실시예의 중간 유전체판(106)의 하부면 정면도를 도시한다. 이 유전체판은 광학 플러그(110)을 둘러싸며, 알루미늄 나이트라이드(AlN), 보론 나이트라이드(BN), 또는 알루미늄 옥사이드(Al2O3)와 같은 열전도성 및 전기적 절연성의 세라믹 재료로 구성된다. 본 실시예에서, 세라믹 샤워헤드(108)은 6개의 개스 주입 구역을 포함하며, 각각은 도 4에 도시된 바와 같이 중간 유전체판(108)에서 임베딩된 개스 확산 공동들 중 하나에 대응한다. 이들 개스 확산 공동들은 중간 유전체판(106)에 형성된 얇은 원형 그루브이며, 개스 주입 입구에 접속된다. 특히, 내측 개스 확산 공동 링(140)은 개스 주입 입구(134)를 경유해 처리 개스를 받아서, 이 처리 개스를 샤워헤드(108) 주입 홀(146)의 내측 구역으로 향하게 한다. 제2 개스 확산 공동(138)은 개스 주입 입구(132)를 경유해 처리 개스를 받아서, 이 처리 개스를 샤워헤드 주입 홀(144)의 제2 구역으로 향하게 한다. 제3 개스 확산 공동(136)은 개스 주입 입구(130)으로부터 처리 개스를 받아서, 이 처리 개스를 샤워헤드 주입홀(142)의 제3 구역으로 분배한다. 제4 개스 확산 공동(122)는 입구(116)을 통해 처리 개스를 받아서, 이 처리 개스를 샤워헤드 주입홀(118)의 제4 구역으로 안내한다. 제5 개스 확산 그루브(120)은 입구(114)로부터 처리 개스를 받아서, 이 처리 개스를 샤워헤드 주입홀(116)의 제5 구역으로 분배한다. 제6 개스 확산 공동(118)은 입구(112)로부터 처리 개스를 받아서, 이 처리 개스를 샤워헤드 주입홀(124)의 외곽 구역으로 향하게 한다.
용접-밀폐된 ICP 소오스(100)을 위한 진공, 냉각수, 및 처리 개스 봉합은 열 접착 공정이나 일레스토머 O-링 봉합을 사용하여 형성되는 접착 접합(bonded junction)을 사용하여 이루어질 수 있다. 적절히 낮은 용융점을 갖는 금속 또는 금속 합금을 사용한 열접합은, 접합된 구조가 공정상의 오염 가능성, 베이스 압력 저하, 일레스토머 O-링 봉합에 관련된 배기 문제를 없애기 때문에, ICP 소오스(100)에서 용접 밀폐를 이루는데 양호한 방법이다. 인듐, 인듐 합금, 또는 다른 적절한 접합 재료가 접합된 ICP 구조의 형성을 위해 사용될 수 있다. 금속 기반의 열접합에 대한 대안이 열적으로 큐어링된 에폭시 물질을 사용한 용접 접합이다.
도 1에 도시된 바와 같이, 금속 상부판(102) 외에, ICP 소오스(100) 하우징은 3개의 전기적 절연 디스크 스택을 포함한다. 전술한 바와 같이, 이들 디스크들은 세라믹 재료로 이루어지며, 양호하게는, 알루미늄 나이트라이드(AlN), 보론 나이트라이드(BN), 알루미나(Al2O3), 또는 베스펠(vespel)과 같은 플라즈마-저항성 폴리머 계열의 물질과 같은 열전도성의 세라믹 재료로 이루어진다. 세라믹 재료는 그들의 고온 안정성으로 인해, 열접합 처리를 사용하여 어셈블링될 때 ICP 소오스(100) 구조에 잘 이용될 수 있다. 이것은 다양한 접합 재료를 사용한 열 접합 처리는 200℃ 내지 600℃ 범위의 접합 온도를 요구한다는 사실에 기인한 것이다. 금속 접합 재료로서 틴(Sn) 또는 인듐(In) 또는 이들의 합금을 이용하여, 300℃보다 작은 접합 온도가 사용될 수 있다. 게다가, 에폭시 접합 절차는 대개 300℃보다 작은 열 큐어링 온도를 이용한다.
ICP 소오스(100)의 광학 뷰포트(198)의 이용은 선택적이며, 이 뷰포트는 용접-밀폐된 ICP 소오스(100)의 중심에 위치할 수 있다. 광학 플러그 또는 라이트 파이프(light pipe)는 실시간 인-시튜 센서(in-situ sensor)의 구현을 위해 수정이나 사파이어와 같은 적절한 광투과성 라이트 파이프 재료로 만들어질 수 있다. 뷰포트(198)은 실시간 속도 및 공정 균일성 제어를 위한 전-웨이퍼 간섭계 센서의 통합을 위해 적절한 웨이퍼 관찰을 제공한다. 이 뷰포트는 단일-파장 또는 스펙트럴 플라즈마 방출 센서와 같은 다른 유형의 플라즈마 센서의 통합을 위해 사용될 수 있다. 본 발명의 ICP 소오스 설계 개념은 평면형 및 궤적형(contoured) ICP 코일 설계 모두에 적용될 수 있다. 8″웨이퍼 처리에 대해, 금속 상부판(102)는 14″ 내지 20″의 웨이퍼 직경을 가질 수 있다. 진공판 직경은 18″의 내부 챔버 직경을 갖는 뉴욕주, 로체스트, CVC 프로덕트사에 의해 제조된 범용 플라즈마 모듈(UPM)의 경우와 같이, 20″일 수 있다. 금속 상부판(102)는 진공 처리 챔버 상에서 사용하기에 충분한 기계적 강도를 제공하기 위해 1/4″내지 1″범위의 두께를 가질 것이다.
상부 유전체판(104), 중간 유전체판(106), 및 샤워헤드(108)은 ICP 소오스(100)의 주 ICP 안테나 하우징으로서 3개의 접합 세라믹(AlN, Al2O3, 또는 BN) 또는 폴리머 계열(예를 들어, 베스펠)의 디스크 스택을 구성한다. 샤워헤드판(108)은 6-구역 샤워헤드 구성을 형성하는 (0.5㎜ 내지 1㎜ 직경의) 원형 홀의 링 배열을 가진 (예를 들어, 1/8″내지 1/4″두께의) 비교적 얇은 판이다. 샤워헤드(108)의 중앙 개구(109)는 뷰포트 광학 플러그(110)을 수용하기 위해 양호하게는 0.5″내지 1.5″의 직경을 가진다. 샤워헤드(108)은 ICP RF 안테나 코일 세그먼트 및 냉각수 채널을 포함하는 중간 유전체판(106)에 열접합(또는 일레스토머 O-링 봉합을 사용하여 접속)된다.
도 1 내지 도 4에 기술된 ICP 소오스 실시예는 단지 ICP 안테나 그루브 또는 냉각제 채널의 하부면만이 ICP 코일 세그먼트를 형성하기 위해 도전체 채널을 포함한다. 만일 원한다면, 감소된 RF 저항을 위해 냉각 채널 하부면 뿐만 아니라 그루브 측벽도 코팅함으로써 도전체 채널을 형성하기 위해 (예를 들어, 전기화학적 도금, 또는 스퍼터링과 전기도금적 피착의 조합과 같은) 금속화 공정이 사용될 수도 있다. 예를 들어, 8″웨이퍼 처리를 위해, 최적의 ICP 소오스 세라믹 하우징 직경은 10″내지 14″이고, 양호하게는 12″이다. 원한다면, ICP 안테나 도전체 세그먼트는 알루미늄 또는 구리와 같은 적절한 재료로부터 미리 제조되어, 후속해서 지정된 안테나 채널에 삽입될 수 있다.
도 5는 ICP 소오스(300)에 대한 본 발명의 대안적인 실시예 또는 제2 실시예의 제1 단면도를 도시한다. 도 5내지 도 9를 통해 도시된 이 대안적 실시예는, ICP 안테나의 궤적 추적이나 유전체 하우징없는 평면형의 용접-밀폐된 다중-구역 (HMZ) ICP 소오스 설계를 도시한다. HMZ ICP 소오스(300)은 상부 유전체판(304)에 부착되어 (도시되지 않은) 플라즈마 처리 챔버에 진공 밀폐를 제공하는 금속 (예를 들어, 스텐레스강) 진공판(302)를 포함한다. 상부 유전체판(304)는 용접 접합 또는 O-링 봉합을 사용하여 형성된 접합(305 및 307)을 경유해 중간 유전체판(306)에 부착된다. 게다가, 중간 유전체판(304)는 접착된 접합(309)나 단일의 외곽 O-링 봉합을 사용하여 샤워헤드판(308)을 마주보고 봉합된다. 광학적 뷰포트(310)은 금속 또는 와이어 봉합을 사용하여 진공판(302)에 장착된 플랜지(200)에 용접 봉합된 광학 플러그(110) 또는 광학 윈도우(198)을 포함한다. 또한, 진공판(302)는 ICP RF 안테나에 의한 판(302)의 가열을 방지하기 위해 다양한 임베딩된 냉각제 채널을 포함한다. 다중-구역 처리 개스 주입 입구(314)는 진공판(302)의 관통홀(312)를 관통하고, 상부 유전체판(304)를 관통하며, 중간 유전체판(306)까지 이어져 처리 개스를 샤워헤드 구역 확산 공동(316)에 제공한다. 샤워헤드 구역 확산 공동(3160은 샤워헤드 입구(314)로부터 처리 개스를 받아, 샤워헤드 주입 홀(318)에 균일한 개스 분배를 제공한다.
개스 확산 공동(316) 및 접합된 개스 주입 입구(314) 외에, 아래쪽 유전체판(306)은 (8개의 독립된 세그먼트) ICP 소오스 안테나 세그먼트(328)도 역시 포함한다. ICP 소오스 안테나 세그먼트(328)은, 도금, 증착, 스퍼터링, 또는 캐스팅/몰딩 공정을 사용하여 중간 유전체판의 상부 표면 상에 형성된 그루브들을 채움으로써 형성된다. 대안적인 방법은 알루미늄이나 구리와 같은 적절한 재료로부터 ICP 소오스 안테나 세그먼트를 미리 제조하고 후속해서 이들을 중간판 안테나 공동에 삽입하는 것이다. ICP 안테나 세그먼트(328)은 내화 금속과 같은 다른 금속 재료로 만들어질 수도 있다. 상부 유전체판(304)는 ICP 안테나 코일 세그먼트 위에 형성된 냉각제 그루브(320)을 포함한다. 이들 냉각제 채널은 외부 냉각제 입구/출구 채널에 접속되며, 동작 동안에 ICP 소오스 하우징의 가열을 방지한다. 도 5의 ICP 구조는 (접착제로서 인듐이나 다른 재료를 사용하거나 열 에폭시 접합을 사용한) O-링이 없는 용접 접합 처리나 일레스토머 O-링 봉합을 사용하여 서로 접속된 4개 판들의 스택으로 구성된다. 유전체판은 적절한 세라믹 (AlN, BN, 또는 Al2O3) 또는 폴리머 (예를 들어, 베스펠과 같은) 재료로 만들어진다. (스텐레스강 튜브로 만들어진) 개스 주입 입구(314)는 단일-구역 또는 다중-구역 샤워헤드 동작에 대해 하나 이상의 개스 매니폴드(manifold)에 외부적으로 접속된다.
도 6은 도 5의 절단면에 수직인 절단면을 따른 도 5의 다중-구역 ICP 소오스(300)의 또 다른 단면도이다. 도5와 관련하여 앞서 설명한 요소들은 도6에서도 동일하다. 그러나, 도6은 상부 유전체판(304) 내의 냉각제 점퍼에 의해 냉각수 출구(322)에 직렬로 접속된 냉각수 채널(320)로 냉각수를 보내기 위한 냉각수 입구(321)를 추가적으로 도시하고 있다. 다중-구역 ICP 소오스(300)의 다양한 요소들을 접속하기 위해, 본 실시예는 인듐이나 다른 적절한 접합 재료를 사용한 몇 개의 접착된 접합을 사용한다. 도 6은 또한, 다양한 ICP 안테나 코일 세그먼트에 대한 스프링-부착된 또는 납땜된 전기 관통로 커넥터(313)도 역시 도시하고 있다. 예를 들어, 8개 (안테나) 코일 세그먼트를 갖는 ICP 소오스 구조에 대해 16개의 전기 커넥터들이 사용된다.
도 7은 도 5의 실시예에 보이는 중간 유전체판(306)의 한 실시예를 도시한다. 앞서 언급한 바와 같이, 센서-기반의 공정 감시와 제어 응용에 대해 광학적 뷰포트(198)의 광학 플러그(110)을 위한 (또는 플라즈마 챔버 및/또는 웨이퍼 표면의 광학적 관찰을 제공하기 위한) 통로(326)을 포함하는 중간 유전체판(306)은 적절한 열전도성 및 전기 절연성의 기판 재료로 만들어진다. 무선 주파수 전력을 수신하고 다중-구역 ICP 안테나부(328)로서 역할하기 위해 원형의 파열된-링 모양의 구성에서, 알루미늄이 채워진 또는 구리가 채워진 (또는 일반적인 도전체가 채워진) 많은 그루브 또는 트렌치들이 나타나 있다. 또한, 중간 유전체판(306) 내에는 복수의 샤워헤드 구역 확산 공동(316)이 있다. 중간 유전체판(306)의 하부면 상에는 다중-구역 ICP 구조를 이루기 위해 판 접착 접합(324)가 형성된다. 중간 유전체판(306)은 12″직경의 알루미늄 나이트라이드, 알루미늄 옥사이드, 또는 보론 나이트라이드와 같은 열전도성 및 전기적 절연성의 세라믹 디스크로 구성된다. 도 7의 실시예에서, (알루미늄 또는 구리와 같은) 도전체가 채워진 트렌치(328)은, 파열된 링 모양을 이루는 평면형 8회 코일 턴의 형태를 취하고 있다. 용접-밀폐된 다중-구역 ICP 안테나 세그먼트들은 중간 유전체판(306)의 필수부가 된다. 이들 안테나 세그먼트들은 독립적으로 제조되어, 후속해서 다중-구역 ICP 구조의 용접 밀폐 또는 접합에 앞서 중간 유전체판 트렌치(328)에 삽입된다. 샤워헤드 구역 확산 공동(316)은 중간 유전체판(306)의 하부 표면 상의 광학 플러그 홀(326) 주변에 연속적인 동심원 링을 형성한다. 판 접착 접합(324)는 용접 밀폐 트렌치들 내에 형성될 수 있으며, 하부 표면 상의 인접한 개스 확산 공동들과 상부 표면 상의 다중-구역 ICP 안테나 세그먼트들 사이에 연속적인 개스 확산 공동을 형성한다. 접합 재료는, 예를 들어, 알루미늄, 틴, 알루미늄, 실리콘, 인듐, 또는 납땜 재료나 열전도성 에폭시 재료와 같은 다른 호환되는 재료일 수 있다.
도 8은 도 5의 실시예의 용접 밀폐된 안테나 구조를 도시하는 중간 세라믹 판(306)의 정면 상부면도이다. 특히, 도 8의 실시예에서, 상부 평면 표면(330)은 중간 유전체판(306)과 상부 유전체판(304) 사이에 용접 접합을 형성하기 위한 용접 접합 이음새(324)의 9개 동심원 모양의 링을 포함한다. 각각의 용접 접합 이음새(324)는 인듐과 같은 적절한 접합 재료로 채워진 원형의 얇은 그루브 또는 트렌치를 포함한다. 도 8의 다중-구역 코일 구성을 형성하기 위해, 용접 밀폐 트렌치(324)에 의해 서로 분리된 동심원의 파열된 링 모양의 8개의 (또는 원하는 다른 개수의) 유도성 코일 세그먼트(328)이 사용된다. 다중-구역 코일 세그먼트(328)은 지정된 기판 그루브를 채우며, 알루미늄 또는 구리와 같은 다른 전기 전도성의 재료로 형성될 수 있다.
비록 도 8에는 8개의 코일 세그먼트가 도시되어 있지만, 다중-구역 ICP 균일성 제어 요건과 처리될 기판 크기에 따라 특정 응용에 대해 그보다 적거나 많은 개수의 유도성 세그먼트가 사용될 수도 있다. 각각의 세그먼트 단면적은 대략 그 폭이 0.25″내지 0.5″이고, 그 두께가 0.001″내지 0.120″이다. 각각의 동심원을 이루는 파열된 링(328)은 외부 무선 주파수 전원에 접속하기 위한 또는 RF 커패시터를 통한 안테나 코일 세그먼트들의 상호접속을 위한 전기 접촉 터미널(332 및 336)을 포함한다. 도 8 실시예의 한 예에서, 중간 세라믹판(306)은 8″웨이퍼 처리를 위해 12″직경과 0.25″두께를 가진다. 이 판은 알루미늄 나이트라이드(AlN), 보론 나이트라이드(BN), 알루미늄 옥사이드(Al2O3), 또는 다른 적절한 재료로 구성될 수 있다.
도 9는 도 5 실시예를 위한 금속 진공판(302)의 상부 정면도이다. 금속 상부판(302)의 상부 표면(340)은 다중-구역 샤워헤드 처리 개스 입구(314)의 통과를 허용하는 관통 채널(312)를 보여주고 있다. 도 9에 보이는 샤워헤드 입구의 지정은 다음과 같다. SH-81과 SH-82는 도 5의 샤워헤드 주입기판(308)에 대한 최외곽 주입 구역 홀(318)에 대한 2개의 반구역 샤워헤드 개스 입구이다. 각 연속적인 명칭 SH-71 및 SH-72, SH-61 및 SH-62, SH-51 및 SH-52 등 에서 SH-11 및 SH-12 까지는 이와 관련되는 샤워헤드 주입 구역 그루브(316)에서 광 플러그(110)의 개구에 가장 가까운 최내부 샤워헤드 주입 구역 홀(318) 까지에 대한 절반 구역의 샤워헤드 입구 쌍을 나타낸다. 도 5에서 나타낸 특정 형태에 대해서는, 개스 주입 입구(SH-11/SH-12 내지 SH-81/SH-82)가 개스 매니폴드를 사용하여 외부에 구성되어 있어 다중-구역 ICP 소오스 샤워헤드가 n 구역의 샤워헤드로서 동작할 수 있으며 이 때 n은 1과 8 사이에서 선택할 수 있다. 상부면(340) 상에는 샤워헤드 입구에 부가하여, ICP 소오스 냉각제 입구(332)와 ICP 소오스 냉각제 출구(321)가 또한 나타나 있다. ICP 소오스 냉각제 입구(322)는 상부 유전체판(304)의 냉각제 채널(320)에 냉각제(예를 들어, 냉각수) 유량을 제공한다. 냉각제 입구(346) 및 냉각제 출구(348)은 금속 진공판(302) 내에 매립된 채널을 냉각하기 위한 냉각제 유량을 제공한다.
도 9에서, 금속 상부 판(302)은 분할된 ICP 소오스 구역(350, 352, 및 354)(세개의 구역 ICP 소오스 구성에 대해 나타낸 예)과 이와 결합되는 상부면(340)의 외부 RF 커패시터 성분을 포함한다. 이들 외부 RF 커패시터는 RF 커패시터(356 내지 364)를 포함한다. 도 9의 실시예에서는, RF 전원 RF1, RF 전원 RF2, 및 RF 전원 RF3을 포함하는 세 개의 무선 주파 회로가 RF 전기 전력 신호를 외부 커패시터 회로를 거쳐 다중-구역 ICP 소오스 코일에 제공한다. 특히, RF 전원 RF1은 외부 RF 커패시터 회로(350)를 거쳐 외부 ICP 구역에 접속된다. RF 전원 RF2는 중간 ICP 구역에 외부 전력 RF 커패시터 회로(352)를 거쳐 접속된다. 또한, 외부 RF 커패시터 회로(354)는 RF 전원 RF3으로부터 내부 ICP 구역으로 전력을 공급한다.
따라서, 도 5 내지 도 9는 이전에 설명한 설계와 유사한 많은 특성을 갖는 용접 밀봉된 다중-구역 ICP 소오스(300)의 대체 실시예를 설명한다. 그러나, 도 5 내지 도 9의 대안적인 설계는 ICP 코일 세그먼트 또는 유전체 하우징이 형성되지 않은 완전 평면의 용접 밀봉된 다중-구역 ICP 소오스이다. 이 설계에서, 알루미나 또는 알루미늄 질화물과 같은 몇개의 유전체 재료 중 하나로 만들어질 수 있는 중간 유전체판(306)은 완전 금속화된 그루브를 포함하여 도 8에서 나타낸 접합된 다중-구역 코일 구조를 형성하게 된다. 어느 개수의 세그먼트(예를 들어, 2 내지 10)라도 이 설계에 사용할 수 있지만, 도 8의 실시예에서는 8개의 코일 세그먼트를 나타내고 있다. 중간 유전체판(306)은 개스 주입용 하부 개스 그루부(316)을 포함한다. 하부 개스 그루브(316)는 금속 상부판(302)의 관통로로부터 나오는 접합된 개스 주입 튜브에 결합되는 환형 링으로 형성될 수 있다.
이 설계에서는, 8개의 코일 세그먼트를 갖는 용접 밀봉된 다중-구역 ICP 소오스(300)가 16개의 개스 입구(314)를 갖는 8 구역 샤워헤드(308)를 이용한다. 각 샤워헤드(308) 구역은 두개의 개스 주입 입구(314)(예를 들어, 샤워헤드 구역 1에 접속된 SH-11 및 SH-12 입구)를 가지고 있어 처리 균일성을 개선할 수 있다. 그러나, 각 샤워헤드(308)는 샤워헤드(308)의 개스 그루브 또는 개스 확산 공동(316)가 주입기 판 홀(318)을 통해 축대칭 개스 주입을 위한 충분한 개스 유량 콘덕턴스를 제공하는 한, 하나의 개스 입구(314)만을 이용하면 된다. 단일 구역 샤워헤드에 대해서는 (예를 들어, ICP가 저압 표면 가공/세정 응용에 사용될 때), 단일의 개스 입구만이 필요하다. 이는 모든 개스 입구 SH-11/SH-12 내지 SH-81/SH-82에 결합되는 개스 매니폴드를 이용하여 성취될 수 있다. 그러나, 다중-구역 개스 입구 형태는 적당한 외부 플러밍(plumbing) 및 개스 매니폴딩(manifolding)에 의해서 가수 주입을 단일 구역 또는 다중-구역으로 형태되게 할 수 있는 유연성을 제공한다.
도 5 내 도 9에서 나타낸 설계에 대해서는, 다중-구역 ICP 소오스 수냉각 채널이 상부 유전체판(304)에 포함된다. 상부 유전체판(304)는 또한 접합된 개스 주입 입구 및 수냉각 입구/출구 튜브 뿐만 아니라 모든 ICP 안테나 전기 관통로를 포함한다. 전체 다중-구역 ICP 소오스(300)는 스테인레스 강 진공판에 용접 접합되고 ICP 유전체 하우징 판(세 개의 판)이 알루미늄 질화물(AlN)이나 붕소 질화물(BN)과 같은 비교적 고온의 전도성 재료로 만들어지게 되면, 냉각 채널 및 이와 관련되는 냉각 관통로가 상부 유전체판으로부터 제거될 수 있다. 이것은 ICP 하우징이 수냉각된 스테인레스 강 진공판을 사용하여 효과적으로 냉각될 수 있기 때문에 가능하다. 금속 진공판과 상부 유전체판 간의 열 전도성 접합된 용접 밀봉 접합부가 ICP 안테나로부터 수냉각된 진공판으로의 열 전달을 원할하게 할 것이다. 도 9에서 나타낸 바와 같이, 이 다중-구역 ICP 소오스는 외형상 n 구역 소오스로 구성될 수 있으며 이 때 n=1,2,3,4, 또는 이 이상(특정 실시예에서는 8까지도)이다. 도 9에서 나타낸 형태는 세 개의 개별 제어되는 RF 전원을 갖는 세 구역 ICP 구성을 갖는다.
외부 RF 커패시터(356 내지 364)는 유도성 리액티브 전압을 감소시키며, 결과적으로 챔버 및 ICP 소오스 재료의 용량성 결합 및 스퍼터링은 무시할만하게 된다. 도 9에서 나타낸 형태에서는, 8개의 세그먼트 ICP 소오스가 외부에서 분리되어 세 개의 구역 플라즈마 소오스로 형태되어 있다. 외부 RF 커패시터(356 및 358)과 관련한 외부 세 개의 코일 세그먼트는 외부 ICP 구역(350)(구역-1의 전원 RF1을 이용함)을 형성한다. 세개의 중간 코일 세그먼트는 다른 쌍의 외부 RF 커패시터(360 및 362)과 관련하여 함께 그룹화되어 있어 중간 또는 제2 ICP 소오스 구역(352)를 형성하며 RF 전원 RF2에 의해 전력이 공급된다. 두 개의 내부 코일 세그먼트는 외부 RF 커패시터(364)를 거쳐 직렬로 접속되어 있으며 내부 또는 제3 ICP 구역(354)를 형성한다. 내측 구역은 제3 RF 전원(RF3)에 의해 전력이 공급된다. 이들 세 개의 RF(예를 들어, 13.56MHZ) 전원은 또한 위상 이동기/조절기를 이용하여 개선된 반복 가능한 처리 조절을 성취할 수 있다. 그 외 외부 결선 구성과 다중-구역 분할(두 구역, 네 구역 등)이 또한 동일한 ICP 소오스 설계를 이용하면 가능하게 된다.
도 10은 제2 다중-구역 ICP의 실시예(도 5 내지 도 9)의 변형 실시예의 단면도로서 여기에서 다중-구역 ICP 소오스 하우징은 진공판과 ICP 하우징 간의 공간을 증가시킴으로써 (ICP 하우징과 진공 챔버 리드 사이에 용접된 원통형 금속 연장부를 삽입함으로써) 처리 챔버 진공 리드 또는 플랜지에 관련하여 하강된다. 이 변형된 구성은 특히 기판 척의 업/다운 이동 범위가 제한되는 플라즈마 처리 챔버 설계에서는, 최소의 ICP 소오스를 기판 공간에 제공하여 ICP 처리 수율을 개선한다. 도 11은 고수율의 플라즈마 보조 처리 응용을 위해 진공 처리 챔버에 장착되는 도 10의 제2 다중-구역 ICP 소오스 실시예의 변형 실시예의 제2 단면도를 나타낸다. 도 11에서 나타낸 바와 같이, 움푹한 ICP 하우징은 감소된 ICP 소오스를 기판 공간에 할당하여 처리 수율을 증가시킬 수 있다.
도 12 내지 도 14는 본 발명의 또 다른 용접 밀봉된 다중-구역 ICP 소오스(400)의 실시예를 나타낸다. 이 바람직한 설계는 두 개의 주된 차이를 제외하고는 도 5 내지 도 9에서 나타낸 이전 설계와 유사하다. 도 12는 바람직하게 열 접합이나 납땜(brazing)처리를 이용하여, 상부 유전체판(404)에 부착된 금속 상부판(402)를 포함하여 단면도로 다른 용접 밀봉된 다중-구역 ICP 소오스(400)을 나타낸다. 상부 유전체판(404)은 중간 유전체판(406)에 부착된다. 중간 유전체판(406)은 개스 주입기 판(408)에 접합된다. 상부 진공판(402)은 개스 주입구(414)를 수용하기 위한 다중 채널(412)을 포함한다. 개스 주입구(414)는 상부 유전체판(404)을 관통하여, 상부 유전체판(404)에 접합되며, 중간 유전체판(406)에 들어가 여기에서 샤워헤드 구역 공동(416)에 결합하게 된다. 샤워헤드 구역 공동(416)는 샤워헤드 주입 홀(418)에 처리 개스를 공급한다. 용접 금속 밀봉 플랜지(420)가 상부 진공판(402)에 결합하고 있는 광 플러그(110)(또는 광 뷰포트)가 ICP 소오스(400)을 통과하고 있다. 중간 세라믹 판(406)은 이들의 측벽 상에 코일 세그먼트(424)를 지지하는 확산 냉각수 채널(422)을 포함한다. 이들 코일 세그먼트는 냉각제 채널의 도금으로 형성될 수 있다.
도 13은 도 12의 ICP 소오스의 대체 단면을 도시한다. 도 13이 나타내는 것과 같이, 냉각제 입구 및 출구(426)은 중간 유전체판(406) 내에 형성된 냉각제 채널(422)를 경유하여 냉각제가 ICP 소오스 하우징(400)을 관통하여 흐르게 한다. 더구나, 전기적 접촉 도선(428)은 다중-구역 ICP 소오스 냉각 세그먼트(424)가 외부 커패시터와 RF 전원 공급에 전기적으로 접속하는 것을 가능하게 한다.
도 14는 본 실시예의 중간 유전체판(406)의 구조를 더 상세히 설명한다. 도 14가 나타내는 것과 같이, RF 코일 세그먼트(424)는 냉각수 채널(422)를 따라서 나란히 세운다. 이것은 RF 코일 세그먼트를 냉각하기 위한 냉각 채널을 더 깊게 형성할 필요를 없게 한다. 또한, 개스 확산 공동(416)은 하부 유전체판(408)을 경유한 처리 개스의 일정한 확산과 주입을 위해 중간 유전체판(406)내에 형성된다. 중간 유전체판(406)의 개구(426)은 제자리의 플라즈마 처리 감시 및 제어 애플리케이션을 위한 광 플러그(110)의 통과를 허용할 만큼 충분한 크기이다.
결과적으로, 도 5 내지 도 9의 용접 밀봉된 다중-구역 ICP 소오스(300)과 도 12 내지 도 14의 다중-구역 ICP 소오스(400)과의 차이는, 중간 유전체판에, 충만된 금속 피복 그루브와 반대로 U형 금속 피복 그루브(422)를 포함하는데 있다. 이 차이의 결과로서, 두번째 차이는 다중-구역 ICP 소오스(400)이 상부 유전체판(404)에 냉각 그루브를 제조할 필요가 없게 만든다는 점이다. 이것은 트렌치(422)가 코일 세그먼트(424)를 형성하는 금속 피복 측벽과 하부면을 포함하고, ICP 소오스 냉각을 위해 냉각제가 흐르도록 할 수도 있기 때문이다. 결과적으로 이것은 단순화된 ICP 소오스 구조와 제조 공정을 가져다준다. 도 5의 다중-구역 ICP 소오스(300)에서와 같이, 다중-구역 ICP 소오스(400)용 ICP 코일 금속 피복 공정은 스퍼터링 및 전기 도금 침전 공정 중 하나 또는 조합을 이용하여 수행될 수 있다.
ICP 소오스를 금속 진공판 또는 챔버 진공 플랜지에 용접 접착하기 전에, ICP 코일 세그먼트는 얇은 트렌치(422) 측벽 및 하부면을 덮는 얇은(즉, 10㎛ 내지 100㎛) 층의 금속(알루미늄 또는 구리)로서 형성된다. 이들 금속 피복 영역은 중간 유전체판(406) 상부면에 파열된 링의 형태로 형성된다. 또 하나의 실시예는 ICP 안테나 금속 피복된 파열된 링을 상부 유전체판(304)의 하부면상에 형성할 수 있다. 진공판 또는 플랜지(402)는 ICP 소오스 구조에서 부가적 냉각을 수행하기 위해 냉각 채널에 매립된다.
다중-구역 ICP 소오스(400)에서, 샤워헤드판(408)의 상부면상이나 중간 유전체판(406)의 하부면상에 금속 피복된 얇은 그루브를 이용하여 샤워헤드(408)과 중간 유전체판(406) 사이에 ICP 코일 세그먼트(424)를 배치하는 것도 또한 가능하다. 더구나, 다중-구역 ICP 소오스의 전체 어셈블리에 대한 용접 접착 공정을 이용하고, 매립된 냉각 채널을 상부 진공판(402)내에 제공함으로써, ICP 소오스 냉각은 상부 금속판(402)에 의해 수행될 수 있고, 유전체 냉각 그루브(422)가 제거될 수 있다. 이것은 상부 유전체판(404)이 필요없게 되고, 전체 ICP 하우징 스택을 2개의 유전체판에 덧붙인 금속 진공 리드(402)로 감소시킬 수 있다. 이러한 구조에서, ICP 코일 세그먼트(424)는 개스 샤워헤드판(408)상에서 개스 확산 트렌치(422) 사이에 배치될 수 있다. 더구나, 그들은 샤워헤드판(408)의 밀봉을 위한 접착 구조의 일부로서, 금속 상부판(402)에 스스로 용접 밀봉/용접 접착되는 인접 유전체판(406)에 이용될 수 있다. 이렇게 단순화된 다중-구역 ICP 구조는 도 15 내지 21에 설명된 제4 실시예이다.
금속 상부판(402)로의 에디 전류 RF 손실을 감소시키고 플라즈마 환경에 대한 RF 결합 효율을 최대로 하기 위해, 연한 투과성 자기 재료 또는 강자성 재료(예를 들면, 니켈, 철 등)의 일련의 방사 스트립이 구조적 접착 이전에 금속 상부판(402)와 다중-구역 ICP 소오스(400) 하우징 사이에 배치될 수 있다. 이것은 전기 도금 기술을 이용하여 금속 상부판(402)상에 고-투과율 자기 재료를 피복함으로써 행해질 수 있다. 대신에, 얇은 방사 그루브가 진공측 상에 금속 상부판(402)내에 형성될 수 있고, 고-투과율 재료(철 또는 니켈 또는 다른 강자성 재료)의 가는 막대는 금속 상부판(402)에 매립되는 다중-구역 ICP 소오스(400)을 밀폐하는 용접 접착 공정전에 이들 그루브 사이에 삽입된다. 이들 고-투과율 자기 재료 세그먼트는 ICP 코일 세그먼트(424) 위에 자기력선을 근접하도록 방사상 경로를 제공하고, 그 결과 내부 플라즈마 환경에 대한 RF 결합 효율을 개선시키게 한다.
그래서, 도 15 내지 도 21은 6개의 코일 세그먼트와 6개의 샤워헤드 주입 구역을 구비한 용접 밀봉된 ICP 소오스(500)을 도시한다. 일반적으로, 여기에 도시된 설계는 코일 세그먼트(518)의 갯수와 샤워헤드 주입 구역(516)의 갯수에 상관없이 응용될 수 있다. 코일 세그먼트와 주사 구역의 동일 또는 다른 갯수를 구비한 다양한 설계가 가능하다.
그래서, 도 15 내지 21은 상부 전기판(504)를 결합하는 진공판(502)를 포함하는 본 발명의 또 다른 하나의 대체 실시예이다. 유전체판(504)는 변형된 샤워헤드판(506)을 결합한다. 광 플러그 또는 뷰포트(110)은 ICP 소오스(500)내에 꼭 맞고, 뷰포트 금속 플랜지(508)을 이용하여 밀폐 금속 실(seal)에 의해 밀페된다. 이것이 광학 뷰로 하여금 광 플러그(110)을 통해 플라즈마 공정 챔버내의 기판에 액세스할 수 있게 한다. 진공 판 또는 플랜지(502)는 다중-구역 개스 입구관(512)에 연장하기 위한 다수의 채널(512)를 포함한다. 개스 입구관(512)는 상부 유전체판(504)내의 처리 개스 확산 채널(510)에까지 연장된다. 처리 개스 주입 홀(516)은 변형된 샤워헤드판(506)을 통해 처리 개스의 방향 설정을 위해 처리 개스 확산 채널(514)에 접속한다. 또 하나의 다중-구역 ICP 소오스(500)에서, RF 코일 세그먼트(518)은 변형된 샤워헤드판 또는 하부 유전체판(506)에 필수로 형성된다. 이러한 구조에서, 접착된 ICP 구조의 효과적인 냉각이 진공판 또는 플랜지(502) 내에서 매립된 냉각제 채널에 의해 제공되므로, RF 코일 세그먼트(518)의 부가적인 냉각이 불필요하다.
도 15는 3개의 접착된 판으로 구성되는 스택을 도시한다. 상부판은 수냉식 스테인레스강 진공판 또는 플랜지(전형적으로 200-㎜ 웨이퍼 공정에서 직경이 14″내지 20″)(CVC's 유니버셜 플라즈마 모듈에 대해서 20″직경)이다. 도 15에서의 개스 입구(512)는 상부 유전체판에 접착되고, 다중-구역 개스 확산 공동(514)에 대해 편평해지거나/돌출된다. 6개의 구멍(514)가 12개의 개스 입구관(512)를 따라서 도시된다.
한편, 도 16은 하부 유전체판 또는 샤워헤드판(506)상에서 다중-구역 ICP 코일 세그먼트(518)에 접속되는 12개 전기적 RF 막대(520) 중 6개를 도시한다. 이들 RF 커넥터 막대는 열 접착되고, 및 또는 상부 유전체판 내부에서 수축-끼움 조립된다.
전기적 막대(520)은 상부 유전체판(504)의 하부면에 대해 일정하게되고, 도 20에 나타나는 안테나 코일 세그먼트 상에서 전기적 단자에 연이어 융해되거나 접착된다. 하부 및 상부 유전체판은 특정 쌍의 근접 코일 세그먼트 간에 형성된 환형 접착 접합(연속링)상에서 뿐만 아니라 가장자리 영역 주위의 연속링 상에서 함께 접착된다. 이것이 또한 상부 유전체판(504)와 하부 유전체판(506)간에 뛰어난 열 접촉을 보장할 것이다. 코일 세그먼트는 개선된 열 접촉을 위해 부가적인 접착면 영역을 제공할 수 있다.
도 17은 다중-구역 ICP 소오스(500)의 진공판(502)의 상평면도이다. 특히, 진공판(502) 상평면은 ICP 소오스(500)용 냉각수(또는 특정 냉각제)를 받는 진공판 냉각제 입구(522, 524)를 포함한다. 냉각수 출구(526, 528)은 효과적인 열 제거를 위해 냉각수가 다중-구역 ICP 소오스(500)을 나가도록 허용한다. 개스 입구관(512)는 채널(514)내에 도시된다. 상기 기술한 실시예와 같이, SH-61 및 SH-62 개스 입구는 샤워헤드(506)의 최외곽 개스링에 대응한다. 개스 입구(512)와 관련된 감소 수 "SH"는 샤워헤드판(506)의 내부 주사링에 대응한다. 진공판(502) 상부면은 또한 ICP 안테나 RF 코일 세그먼트(518) 및 샤워헤드판(506)에 접속하기 위한 전기적 관통로(530)을 포함한다. 도 17의 실시예에서, 전기적 관통로(530)은 샤워헤드판(506)상에 형성된 6개의 RF 코일 세그먼트에 접속하는 6개의 안테나 코일 세그먼트용 12개 단자를 포함한다.
도 15 내지 도 21에 도시된 접착된 모놀리식 구조에서, 수냉 진공판(502)는 전체 다중-구역 ICP 소오스(500)과 관련 유전체 하우징의 효과적인 냉각을 제공한다. 이러한 효과적인 냉각은 전체 ICP 하우징이 금속 진공판에 함께 접착되므로 가능하다. 구조적 접착은 상부 유전체판(504)와 수냉 진공판(502)간 뿐만 아니라 인접 유전체판(504, 506)간의 열 저항을 최소화한다. 더구나, 다중-구역 ICP 유전체 하우징 재료는 비교적 높은 열 도전율, 170W/m-K 정도를 가진 알루미늄 니트라이드(AlN)으로 구성될 수 있다. 도 17에 따르면, 금속(스테인레스 강) 진공판 또는 플랜지는 다양한 다중-구역 ICP 소오스 관통로에 대해 다수의 관통 홀을 제공한다.
도 18은 도 13의 실시예에 대한 진공판(502)의 하부면도를 도시한다. 특히, 진공판(502)의 하부면도는 진공판(502)를 관통하는 전기적 관통로(530) 뿐만 아니라 관통 채널(514)로부터 방사되는 개스 입구(512)를 도시한다. 또한, 진공판(502)의 하부면도는 고투과율 자기 재료로 만들어지는 매립된 방사형 강자성 막대(532)를 도시한다. 예를 들면, 하나의 실시예에서 매립된 방사형 막대(532)는 철 또는 니켈 또는 진공판(502)의 하부면에서 동일 크기 그루브에 삽입되는 작은 와전류(eddy current)를 가지는 더 적합한 강자성 재료로 길이 8″, 폭 0.5″,두께 0.25″인 막대일 수 있다. 도 18에서, 진공판(502)내에 10개의 방사형 막대(532)가 있다.
도 18에서 나타내고 있는 방사상 로드(532)는 진공판(502)의 그루브 내에 매립되어 있으며 고투과성의 철이나 니켈과 같은 자기 물질로 제조될 수 있으며 진공판 아래의 자계선을 밀폐하는 방사상의 경로를 제공한다. 이로 인해 금속 진공판 내로의 에디 전류의 손실을 저감시킬 수 있으며 플라즈마 환경으로의 전체 다중-구역 ICP 소오스 500RF 파워 결합 효율을 개선할 수 있다. 필요하다면, 방사상 로드(532)는 진공판(502) 상의 철이나 니켈의 블랭킷 도금층으로 대체될 수 있다(이 경우 로드와 그루브가 필요하지 않게 된다).
여기에서 제시된 특정의 설계에 대해서, 진공판은 샤워헤드 개스 입구 튜브(SH-11, SH-12, SH-21, Sh-22, SH-31, SH-32, ...)에 대해 12 홀을 가지고 있으며, 다중-구역 ICP 전기 접속부에 대해서는 다른 세트의 12 홀을 갖고 있다. 더구나, 광학 시점의 삽입을 위해 사용되는 중앙 홀(0.5″내지 1.5″의 직경)이 있다. 금속 진공판(14″내지 20″의 직경)은 진공 기계적 강도를 제공하기 위해서 0.25″내지 0.50″의 두께를 갖는다.
도 19는 도 15의 실시예의 변경된 샤워헤드판(506)을 나타낸다. 변경된 샤워헤드 어셈블리(506)는 알루미늄 질화물, 알루미늄 산화물, 붕소 질화물 또는 그 외 적당한 재료 등의 유전체 재료로 형성되고 주입기 홀(516) 및 ICP 코일 세그먼트(518)를 포함한다. ICP 코일 세그먼트(518)는 6개의 모노리식 코일 세그먼트와 같이 ICP 샤워헤드 판(506)의 상부에 접합된다. 샤워헤드 주입기 홀(516)은 6 링의 홀을 갖는데, 각 홀은 0.02″내지 0.06″의 범위의 직경을 갖고, 각 주입기 구역(1 내지 6)에 대한 전체 홀의 개수는 50 내지 500의 범위에 있다 (외부 링은 더 많은 홀을 가짐). 중앙 홀(534)은 광 플러그(110)의 통과를 위해 0.25″와 1.0″ 사이의 직경을 갖는다. ICP 코일 세그먼트(518)는 0.001 내지 0.1″의 깊이와 0.25″ 내지 0.5″의 폭을 갖는 변형된 샤워헤드의 그루부(536) 내에 맞추어진다.
도 20은 변형된 샤워헤드 판(506)의 상면도를 나타낸다. 변형된 샤워헤드(506)는 도 20의 실시예에서, 기판 그루부(536)(도 19 참조)를 충전하며 외부 RF 커패시터 회로의 외부 RF 접속부에 대한 단자(538 및 540)를 포함하는 6개의 모노리식 코일 세그먼트(518)를 포함한다. 도 20에서 나타내는 샤워헤드(506)의 실시예는 여러 기판의 플라즈마 처리를 위한 처리 챔버 내로 처리 개스를 주입하기 위한 주입기 홀을 포함한다.
하부 유전체판(506)은 두 가지의 작용을 한다. 하나는 다중-구역 처리 개스 주입의 작용을 행하는 것이고, 다른 하나는 알루미늄이나 구리와 같은 적당한 재료로 제조된 코일 세그먼트(518)(두 개의 유전체판 사이에 끼워지는 성형 금속 세그먼트에 의해 형성되거나 유전체판(506)에 대한 스퍼터링이나 도금처리에 의해 형성됨)을 내장하는 작용을 행하는 것이다. 코일 세그먼트(518)는 꺽인 링으로 형상된 얕은 그루브(0.001″내지 0.100″)를 충전한다. 유전체판(506)의 표면은 예를 들어 금속으로 얕은 트렌치를 충전한 후에 기계적 연마 공정을 이용하여 평탄화될 수 있다.
도 21은 도 15의 실시예의 상부 유전체판(504)의 저면도를 나타낸다. 상부 유전체판(504)에는 개스 확산 공동(514)에 플라즈마 처리 개스를 제공하기 위한 스테인레스 강 튜브(512)가 부착되어 있다. 스테인레스 강 튜브(512)는 개스 확산 공동(516)를 통해 다중-구역 개스 주입을 위한 스테인레스 강 튜브가 접합되어 있으며, 두 개의 튜브는 각 개스 확산 공동 링에 대해 180°이격되어 있다. 이렇게 접착된 알루미늄 로드(520)는 외부 RF 캐피시터와 RF 전원에 코일 세그먼트(518)을 전기적으로 접속하기 위해 6 쌍으로 형성되어 있다. 도 21은 또한 광학 플러그(110)의 통과를 위한 개구(534)를 나타낸다. 본 발명의 상술한 다중-구역 ICP 소오스의 실시예와 비교하여, 이 최종 설계는 구조가 간단화되고 제조 비용이 감소된다는 장점을 제공하고 있다.
개스 확산 공동(514)는 링 형상의 공동(0.25″-0.50″폭과 0.25″내지 0.50″깊이)로서 형성된다. 이들 공동은 하부 유전체판에서 다중-구역 주입기 홀(516)에 중첩된다. 또한 도 21에서는 개스 확산 공동 내에 위치된 개스 인입 튜브 종단(520)(6 구역 주입기에 대해 12 튜브가 도시됨)이 도시되고 있다. 각 쌍의 인입 튜브는 하나의 개스 조절 매니폴드와 밸브에 외부로부터 함께 접속되어 있어 조절된 6 구역 (또는 n 구역, n=1,2,3,...) 처리 개스 주입기를 형성한다.
유전체판(504)과 변형된 샤워헤드(506)를 형성하도록 작용하는 재료는 다양하다. 그러나, 특정 재료가 본 실시예에서는 특히 바람직하다. 이들 재료는 붕소 질화물, 알루미늄 질화물 및 알루미늄 산화물을 포함한다. 이들 재료(예를 들어, AlN 및 BN)가 바람직한 이유는 이하 이들의 장점을 설명하기 위해 간단히 설명한다.
붕소 질화물(BN)은 탄소와 유사한 물리적 화학적 특성을 갖는 고 내화성의 재료이다. 아연형(g-BN), wurzite(w-BN) 및 아연 혼합물(z-BN)이 아연(6각형) 및 다이아몬드(입방체)에 대응하는 BN의 폴리모프(polymorph)로 알려져 있다. g-BN에서 w-BN으로의 변형은 비교적 저온(230℃)에서 12Gpa 이상의 압력에서 발생한다. w-BN에서 z-BN으로의 변형은 1,300℃ 이상에서 5.5GPa 이상의 압력 하에서 발생한다. 아연 혼합물(z-BN)은 5.5 Gpa 이상 1100℃ 내지 1500℃가 적당하다.
모든 BN의 형태는 양호한 전기적 절연체이고, 처리 대역 갭은 몇 전자 볼트(eV)이고; 6각형의 전기 저항은 25℃에서 1.7×1013ohm-cm 내지 1000℃에서 3×104ohm-cm의 범위에 있으며 주파수에 의해서는 거의 영향을 받지 않는다. 6각형 BN의 유전체 상수는 전기 벡터가 오아살(oasal) 평면에 평행할 때 3이고 평면에 수직일 때 5이다. 짧은 원자간 거리와 가벼운 원자 중량에 따라 모든 형태의 BN은 매우 양호한 열 전도체이다. 붕소 질화물은 대부분의 분위기에서 화학적으로 불활성이므로, 미네랄 산에 대해 내침해성을 가지거나 유리, 슬랙 및 용융 산화물, 빙정석 및 용해된 염, 및 알루미늄을 포함하는 대부분의 용융 금속물에 의해 침투된다. 공기중의 산화물 비율은 1100℃ 이하에서 미소하다.
6각형의 붕소 질화물은 보통 미세 분말로서 합성된다. 분말은 결정 크기, 집합물 크기, 순도(잔류물 B2O3의 퍼센트 포함), 및 밀도가 변하게 된다. BN 분말은 몰드 분해제, 고온의 윤활유, 및 오일 첨가제, 러버 및 에폭시로서 사용되어 유전체 화합물의 열 전도를 개선시킨다. 분말은 또한 금속 및 세라믹-매트릭스 합성물에 사용되어 열 충격을 개선하며 침투성을 변형시킨다.
6각형의 붕소 질화물은 밀도를 이론값(2.25g/㎤)의 90-95%를 갖는 소프트(Mohs 2)하며 용이하게 가공할 수 있는 화이트 또는 아이보리 빌레트(billet)에 고온 가압될 수 있다. 17-58 W/m-K의 열 전도율과 0.4-5×10-6℃의 CTE를 가압 방향과 붕소 산화물 바인더 상의 양에 관련한 밀도, 배향에 따라서 성취한다. 다공성과 비교적 낮은 탄성 모듈(50-75GPa) 때문에, 고온 가압된 붕소 질화물은 뛰어난 열 내충격성과 견고성을 갖는다. 가열된 기판 상에의 화학적 기상 증착법에 의해 생성된 열분해성 붕소 질화물이 또한 6각형이며, 이 처리는 코팅물과 얇은 단면을 갖는 형상을 생성하는 데에 사용된다.
입방체의 붕소 질화물은 경도면에서 다이아몬드에 이어 두번째이다. 이것은 특수 그라인딩 분야에서 고성능의 툴 비트(tool bit)용으로 사용된다. 입방체의 BN 툴링(tooling)은 알루미나 및 카바이드 툴링 보다 오래 가며 강철 금속의 그라인딩과 같이 다이몬드가 적당하지 않은 분야에서 바람직하다. 알루미늄 질화물(AlN)은 40.99의 분자 중량, 3.26g/㎤의 밀도, 4.6×10-6/℃의 CTE, N24기압 하에서 2200℃의 m.p. 및 1기압에서의 서브림(sublimes)을 갖는다. AlN은 물이나 증기와의 접촉시에 화이트 6각형의 결정 구조 및 그 분말 가수분해물을 갖는다. 수용성 처리가 가능한 내수성 분말이 상업적으로 상용되고 있다. AlN은 산에 대해 안정되며 염기와는 약간만 반응한다. 이것은 질소나 암모니아의 존재시에 탄소에 의한 알루미늄 산화물의 환원에 의해서 알루미늄 금속을 질소와 반응시킴으로써, 또는 알루미늄 삼염화물 및 암모니아 간의 반응 생성물의 분해에 의해서 제조된다. AlN 분말은 Y2O3또는 CaO와 같은 소결제의 첨가로 N2의 1기압에서 1800℃ 이상에서 완전 밀도(full density)로 소결될 수 있다. 200W/mK 초과시 열 전도는 소결부에서 성취될 수 있으며, 이것은 알루미늄 산화물의 5배이다. AlN의 유전체 강도는 알루미늄 산화물의 1.5배이고, 전기 저항과 기계적 강도는 알루미늄 산화물의 것과 필적할 만하다. 이 유전체 상수는 알루미늄 산화물의 약 절반이다. 중요한 적용 분야로는 반도체, 자동 및 트랜지트 파워 모듈, 이동체 통신 및 멀티칩 모듈에 대해 열 전도 기판과 방열판을 포함하고 있다. 그 외 AlN의 특성은 다음 표 1에서 나타낸다.
알루미늄 질화물의 특성단위 AlN
부피저항 ohm-cm ≥1014
유전체 상수 RT-1MHz 8.6
유전체 손실 RT-1MHz 0.0005
열 전도률 W/mK 170
팽창 RT-400℃의온도 계수 10-6/℃ 4.7
밀도 g/㎤ 3.3
경도(Knoop) Gpa 11.8
접합력 Mpa 276
영(Young) 모듈 Gpa 331
포이손(Poisson) 비율 0.25
세브론 노치 쇼바기법에 의한 프랙쳐 강도 Mpa√m 3.44
도 22는 본 발명의 다중-구역 ICP 구조중 하나를 포함하는 플라즈마 장비 처리 챔버(600)의 개략도를 설명한다. 도 22에서 나타낸 특정의 실시예는 ICP 소오스 구조의 3개의 RF 전원에 관련하여 세개의 구역 형태를 나타낸다. 다중-구역 ICP 소오스 구조(601)는 ICP 안테나 코일과 개스 샤워헤드 확산 공동(도시 생략)를 포함하는 유전체판 (예를 들어, 비교적 높은 열 전도율을 갖는 세라믹 재료로 제조됨)의 용접 접합 구조를 이용한다. ICP 하우징(601)은 금속 진공판 또는 플랜지(603)에 용접 접합 밀봉된다. 진공 판 또는 플랜지(603)은 플라즈마 장비 처리 챔버(605) 상에 위치되며 진공 봉합(622)를 이용하여 진공 챔버가 만들어진다. 이 구성은 처리 챔버(605)의 진공 사이드(620)에 다중-구역 ICP 하우징을 위치시킨다. ICP 소오스(601)는 플라즈마 처리 사이드(620) 및/또는 기판(607) 상태 파라미터를 감시함으로써 실시간 처리 감시 및 조절의 목적으로 진공 밀봉 광학 (예를 들어, 사파이어 또는 석영) 플러그 또는 뷰포트(veiwport; 604)를 제공한다. 예를 들어, 도 22는 기판(607) 상의 플라즈마 처리 균일성의 실시간 감시와 조절을 위해 광학 플러그(604)의 상부에 장착된 전 웨이퍼 간섭계 센서(617)를 나타낸다. 플라즈마 방출 센서와 공간 해상 플라즈마 방출 센서와 같은 그 외 센서들은 처리 감시와 조절의 목적으로 사용될 수 있다.
다중-구역 ICP 소오스(601)는 처리되는 기판(607)를 지지하는 척(chuck; 606) 위에 위치 결정된다. 척(606)은 플라즈마 처리 동안에 조절된 가열 및/또는 냉각에 의해 기판(607) 온도를 조절한다. 기판(607)은 정전 또는 기계적 수단에 의해서 온도 조절된 척(606)에 클램프되는 것이 바람직하다. 더욱이, 척(606)는 ICP 소오스 하우징(601)에 관련하여 업/다운 이동과 높이 조절의 능력을 갖는다. 이는 충분한 처리 능력을 유지하면서 처리 균일성과 같은 플라즈마 처리 파라미터를 최적화하기 위해서 ICP 소오스를 기판 공간에 맞추어 조절하는 매우 유용한 능력을 제공하게 된다. 에를 들어, ICP 소오스를 기판 공간에 맞추어 감소시키게 되면 웨이퍼에서의 플라즈마 밀도와 이온 전류 밀도가 증가하게 되어, 플라즈마 처리 비율이 증가하게 된다. 기판 공간에 대한 ICP 소오스가 너무 작아지면, 처리 균일성이 저감하게 되고, 또한 플라즈마로 인한 디바이스 손상과 관련한 부가의 문제와 기판(607)의 과도한 에디 전류 가열이 있을 수 있다.
플라즈마 처리 챔버는 기판(607)을 진공 처리 챔버(605) 내로 및 밖으로 자동으로 적재 및 반출하기 위한 진공 챔버 액세스 밸브를 제공한다. 더욱이, 플라즈마 처리 챔버(605)는 진공 펌프(예를 들어, 터보 펌프 및/또는 기계 펌프)에 펌프 포트(629)를 거쳐 접속된다.
척(606)는 전원(614)와 결합용 커패시터(615)를 통해 기판(607)의 기계적 바이어싱 (예를 들어, 13.56MHZ RF 또는 100kHZ-400kHz AC 전원)에 대한 선택권을 제공한다. 이 전기적 바이어스는 기판(607)에 가하는 플라즈마 이온 에너지에 대해 양호한 조절 능력을 제공한다.
도 22는 RF 전원 RF1(608), RF2(602), 및 RF3(610) 각각에 의해 외측 구역, 중간 구역, 및 내측 구역을 갖는 세개의 구역 ICP 형태를 나타낸다. 이 도면에서 나타낸 바와 같이, RF 전원은 ICP 안테나 구역에 바람직하게는 직렬의 블럭 커패시터(630, 631, 및 632)를 거쳐 접속되어 있다. 더욱이, 외부 세그먼트간 직렬의 커패시터 C1(611), C2(612), 및 C3(613)는 각 구역 (외측 구역, 중간 구역, 및 내측 구역 각각) 내에서 직렬로 안테나 세그먼트를 접속하고 있다. 이들 직렬의 커패시터는 여러 구역 내에서 감압된 유도성 RF 전압을 확실하게 하고, 이로 인해 플라즈마 매질에의 에너지 소오스의 유도성 플라즈마 결합 및 감압 기생 용량성 결합을 개선하게 된다. 다중-구역 ICP(601) RF 전원(608, 609, 610)은 넓은 범위(예를 들어, 1MHZ내지 30MHZ 이상)에 걸친 소오스 주파수를 이용할 수 있으며, 고정된 13.56MHZ 주파수가 바람직하다. 더욱이, 이들 다중-구역 전원은 RF 전원과 ICP 안테나 구역 사이에 위치된 외부 RF 정합 네트워크(도 22에서 도시 생략)를 이용하여 부하 정합, RF 파워 결합 및 플라즈마 처리 반복성을 개선할 수 있다. 이 RF 전원은 여러 RF 전원 사이의 위상 각도를 조절하기 위해 위상 시프터(616)를 이용함으로써 처리 균일성과 반복성을 개선할 수 있다.
위상 시프터(616)는 전원이 여러 주파수를 이용할 때에는 필수적이지 않다. 예를 들어, 100kHz 전원이 기판 바이어스에 사용되고 세 개의 13.56MHz 전원이 다중-구역 ICP 안테나에 전력을 공급하는 데에 이용되면, 기판 전원과 다중-구역 ICP 전원 간에는 위상 시프터가 필요하지 않게 된다. 그러나, ICP RF 전원은 RF1(608), RF2(609), 및 RF3(610)의 위상 각도를 조절하기 위해서 위상 시프터/조절기를 이용할 수 있다.
도 22는 또한 냉각제(예를 들어, 냉각수)를 금속 진공 리드를 통해 흐르게 하기 위한 냉각제 입구(633) 및 냉각제 출구(634) 라인을 나타낸다. 열 전도 결합/밀봉 계면(640)(상부 유전체판과 금속 진공 리드 사이) 및 (641)(하부와 상부의 유전체 또는 세라믹 판 사이)에 의한 ICP 소오스의 용접 결합 구조에 의하여, 냉각된 금속 진공판/리드가 또한 ICP 하우징에 대한 유효 열 제거 또는 방열 매질로서 작용하게 된다. 이것은 안테나 세그먼트를 통해 작동하는 최대 RF 전력 레벨을 갖는 ICP 하우징 온도가 100 ℃ 이하로 유지되도록 보장한다.
도 22에 도시된 바와 같이, ICP 처리 개스(635)는 개스 매니폴드(618 및 619)를 통해 ICP 샤워헤드 판(602)에 공급된다. ICP 개스선의 외부 매니폴딩은 특정 플라즈마 처리 균일성 및 결함 밀도 필요성을 만족시키도록 설계될 수 있다. 개스 주입 시스템은 단일 구역 또는 다중-구역 개스 주입(2개의 개스 매니폴드를 사용하는 2 구역이 도 22에 도시되어 있음)용으로 설정될 수 있다.
도 23은 본 발명의 다중-구역 ICP 소오스 구조에 사용되는 2-매니폴드 개스 주입 구성(700)의 한 예를 도시한다. 도 23은 다중-구역 ICP 소오스의 6 쌍의 개스 유입선(703)을 도시한다. 도 23의 구조도는 ICP 장비 개스 박스로부터 공급된 6개의 상이한 처리 개스(704 및 705)를 보여준다. 다중-구역 ICP 소오스 확산 공동은 샤워헤드내의 홀의 각 개스 주입 링에 대응하는 각각의 개스 분산 공동에 한 쌍의 유입구를 사용한다. 예를 들어, SH-61 및 SH-62는 다중-구역 ICP 소오스 구조의 제6(최외부) 개스 분산 공동에 접속되지만, 유입선 SH-11 및 SH-12은 제1(최내부) 개스 분산 공동에 접속된다. 도 23의 한 실시예에 도시된 바와 같이, 처리 개스 A, B 및 C(705)는 제1 개스 매니폴드(701)를 통해 함께 접속되며, 샤워헤드 주입 링(2, 4 및 6)으로 순차적으로 주입된다. 반면에, 처리 개스 D, E 및 F(704)는 제2 개스 매니폴드(702)를 사용하여 함께 접속되며, 샤워헤드 주입 링(1, 3 및 5)(SH-11/SH-12, SH31/SH-32 및 SH-51/SH-52 주입구)을 통해 플라즈마 처리 챔버로 순차적으로 주입된다. 이러한 배열은 제1 그룹의 처리 개스(705) 및 제2 그룹의 처리 개스(704)의 사전 혼합이 방지되는 2 구역 샤워헤드로서 다중-구역 ICP 주입기를 구성한다. 다른 개스 접속 구조 및 구역 분할 배열이 본 발명의 다중-구역 ICP 구조에 가능하다는 것을 이해해야 한다.
도 24A 및 24B는 6개의 안테나 세그먼트를 구비한 본 발명의 한 실시예의 3 구역 및 2 구역 ICP 혼합 배열을 도시한다. 도 24A에 도시된 바와 같이, 직렬 또는 차단 커패시터(814)를 통해 기판 바이어스를 제공하는데 RF 전원(801)이 사용된다. 더우기, ICP 안테나 세그먼트(본 실시예에서는 6개의 세그먼트)는 외부적으로 분할되고 결선되어 3개의 ICP 구역(가장자리 구역(811), 중간 구역(812) 및 중심 구역(813))을 형성한다. 가장자리 구역(811)에서, 외부의 2개의 안테나 세그먼트(커넥터 노드 C11/C12및 C21/C22를 갖는 세그먼트(1 및 2))는 2개의 세그먼트를 접속하기 위해 직렬 커패시터(808)를 사용한다. 제1 RF1 전원(803)은 직렬 차단 커패시터(815)를 통해 C11 및 C12에 접속된다. 중간 구역(812)는 노드(C32및 C41) 사이에 배치된 직렬 커패시터(809)를 사용하여 제3 및 제4 안테나 세그먼트를 접속함으로써 형성된다. 제2 RF 전원(805)는 직렬 차단 커패시터(816)을 통해 중간 구역(813)에 전력을 공급한다. 노드(C52및 C61) 사이에 배치된 직렬 커패시터(810)을 사용하여 제5 및 제6 안테나 세그먼트를 결합함으로써 내측 구역(813)이 구성된다. 제3 위상 이동기/제어기(802, 804, 및 806)은 처리 균일성 및 반복 가능성을 위해 다양한 RF 전원의 상대적 위상각을 제어하기 위해 사용될 수도 있다. 주어진 다중-구역 ICP 소오스 구조의 경우, 소정 수의 구역에 다양한 형태의 ICP 안테나 세그먼트 분할이 사용될 수도 있다. 도 24A에 도시된 실시예에서, 각각의 안테나 구역은 2개의 인접 안테나 세그먼트를 수신한다. 최적의 분할 구성은 플라즈마 처리 균일성에 대해서 최상의 제어량을 제공한다.
도 24B는 외측 구역이 제1 및 제2 안테나 세그먼트를 그룹화함으로써 형성되고, 내측 구역은 제3 내지 제4 안테나 세그먼트를 그룹화하므로써 구성되는 2 구역 ICP 배열을 도시한다. 외측 구역은 직렬 차단 커패시터(913)을 통해 노드 C11및 C22에 접속된 제1 RF 전원(905)와 함께 노드 C12및 C21사이의 직렬 커패시터를 사용한다. 내측 구역은 (노드 C32와 C41사이의) 직렬 커패시터(908), (노드 C42와 C51사이의) 직렬 커패시터(909), 및 (노드 C52와 노드 C61사이의) 직렬 커패시터(910)을 사용한다. 제2 RF2전원(906)은 직렬 차단 커패시터(914)를 통해 내측 구역 노드(C31및 C62)에 접속된다. RF 전원(901)은 직렬 차단 커패시터(902)를 통해 플라즈마 장비 척에 접속된다. 위상 이동기/제어기(903 및 904)는 다양한 RF 전원의 상대적 위상각을 제어하도록 사용될 수도 있다.
본 발명의 다중-구역 ICP 구조 및 방법의 중요한 한가지 잇점은, 임의의 소정 소오스의 경우 소오스에 대한 전기적 외부 결선을 변화시킴으로써 간단하게 복수의 다중-구역 결선 배열 및 안테나 세그먼트 분할 구성이 가능하다는 점이다. 본 발명은 최대 광범위한 가능한 플라즈마 처리 윈도우 및 최상의 처리 균일성을 달성하기 위해 다중-구역 ICP 소오스 구역화 및 분할을 최적화하기 위해 상당한 양의 가요성을 제공한다. 더우기, 본 발명의 다중-구역 ICP 소오스 구조 및 방법은 300 ㎜ 실리콘 웨이퍼 및 대형 면적의 평판 디스플레이 기판과 같은 보다 큰 기판의 균일한 처리를 가능케하도록 비례될 수 있다.
본 발명의 다중-구역 ICP 소오스 구조의 제조에 양호하게 사용되는 용접 밀폐 제조 방법은 극히 높은 진공 보전, 초 고진공(UHV) 호환성 및 초 청정 플라즈마 처리로 된다. 예를 들어, 본 발명의 다중-구역 ICP 소오스 구조는 5 ×10-9Torr 만큼 낮은 진공 베이스 압력으로 호환된다.
소정의 다중-구역 ICP 소오스 구조 및 특정 처리 응용의 경우, 다양한 외부 결선 구조를 갖는 실험 설계(DOE) 시리즈를 수행함으로써 안테나 구역들 사이의 최적의 구역화 및 안테나 세그먼트 분할(또는 그룹화)이 얻어질 수 있다. 다중-구역 ICP 열 커패시터는, RF 주파수에 따라 다양한 구역들 내의 안테나 RF 전압을 최소화하도록 선택된다.
대부분의 실제 플라즈마 처리 응용에 있어서, 본 발명의 다중-구역 ICP 구조는 2 구역 또는 3 구역 구성(예를 들어, 200 ㎜ 까지의 웨이퍼 처리의 경우 2 구역 구성)을 사용하는 처리 조건을 만족시킬 수 있다. 대형 기판(예를 들어, 300 ㎜ 실리콘 웨이퍼)은 보다 다수의 구역들로부터 이로울 수도 있다. 다중 가변 실시간 제어기는 처리 균일성 및 반복성을 제어하기에 적합한 센서(예를 들어, 전-웨이퍼 간섭계 센서)와 함께 사용될 수도 있다.
본 발명의 다중-구역 ICP 구조는, (여러 실시예에서 기술되고 도시된 바와 같이) 비파상형 배열의 저렬된 세그먼트 링 파열부에 관련된 임의의 플라즈마 처리 비균일성을 방지하기 위해, ICP 안테나 세그먼트들에 대해 직선으로 정렬된 전기 접속 관통로를 사용하거나 파상적 전기적 관통로를 사용할 수도 있다. 예를 들어, 8개의 원형 안테나 세그먼트를 갖는 다중-구역 ICP 구조의 경우, ICP 하우징의 중앙과 가장자리 영역 사이로 연장되는 2개의 수직(거의 방사형) 선들을 따라 일렬로 된 8쌍의 전기적 관통로 커넥터 리드를 사용할 수도 있다. 이러한 설계시에, 소오스 바로 아래(소오스에 가장 근접한) 그리고 2개의 세그먼트 관통 라인들 사이에서 몇몇 플라즈마 밀도가 비균일할 수도 있다. 이러한 가능한 비균일성은 각각의 파열된 안테나 세그먼트 링을 통해 흐르는 전류의 단절로 인해 그리고 비파상형 또는 직선형으로 정렬된 관통로의 누적 비균일성 효과로 인해 발생될 수 있다. 반면에, 8개의 파열된 링 안테나 세그먼트에 대한 8 쌍의 관통로는, 나선 패턴으로 된 총 360˚ 평면 파상형의 관통로를 사용하기 위해 각각의 인접 세그먼트 쌍의 경우 예를 들어 40˚ 내지 45˚로 파상 배치되어 있을 수도 있다. 이것은 다양한 안테나 세그먼트 파열부 및 연관된 관통로의 누적 비균일성 효과를 제거한다. 따라서, 최소의 수용가능한 플라즈마 처리 균일성의 허용가능한 ICP 소오스-기판 간격은, 비 파상형 관통로 패턴에 비해 파상형 전기적 관통로(예를 들어, 나선 파상형 관통로 패턴)의 경우에 보다 작다.
본 발명의 여러 ICP 실시예에서, 다중-구역 안테나 세그먼트에 개스 분산 공동의 근접으로 인해, 개스 분산 공동 내에 플라즈마의 형성이 가능하다. 다양한 수단 및 기술에 의해 개스 분산 공동 내의 이러한 가능한 플라즈마 형성을 피할 수 있다. 한가지 방법은, 적합한 세라믹 섬유 또는 세라믹 분말(예를 들어, 구형 세라믹 입자 크기로 제어된)로 개스 분산 공동을 채우는 것이다. 세라믹 분말 또는 세라믹 필러로 개스 분산 공동을 채우는 것은, 다중-구역 ICP 소오스 구조의 최종 어셈블리 및 용접 접합 이전에 수행될 수 있다.
본 발명의 다중-구역 ICP 소오스 구조는 각각의 ICP 안테나 구역마다 별도의 RF 전원 및 전용 정합 네트워크(예를 들어, 3 구역 ICP 소오스 결선 구조의 경우 3개의 RF 전원 및 3개의 RF 정합 네트워크)를 사용할 수도 있다. 그러나, 다른 방법은, 각각의 구역에 관련된 유효 부하 임피던스 및 전류를 조정하기 위해, 구역들을 각각의 구역과 직렬로 접속된 고정 커패시터나 가변 캐피시터에 전기적으로 병렬 결선하는 것이다. 다른 가능성은, 단일 RF 전원과 단일 RF 정합 네트워크(RF 정합 네트워크가 필요치 않을 수도 있음)에 접착된 단일 변압기의 1차 코일과 여러 구역들의 조정가능한(예를 들어, 서보 또는 스테퍼 모터로 기계적으로 조정가능한) 변압기 결합을 사용하는 것이다. 이러한 가능한 배열은 단일 RF 전원과의 효율적인 실시간 다중-구역 ICP 플라즈마 균일성 제어를 허용하여 시스템 비용 및 복잡성을 저감시킨다. 도 25A 및 25B는 3 구역 ICP 동작을 가능케하는 2개의 전원 결선 배열 및 단일 RF 전원을 사용하는 제어의 예를 도시한다. 도 25A는 병렬 용량성 결선 배열을 도시하며, 도 25B는 다중-구역 동작을 허용하는 조정가능한 변압기 결합을 설명한다. 실시간 다중 가변 제어기는 가변 커패시터 밸브 또는 변압기 결합 비율 정도를 제어하는 스테퍼 또는 서보 모터에 제어 신호를 제공한다. 예를 들어, 다중 가변 실시간 제어기는 실시간 다중-구역 균일성 제어를 위해 다중-구역 변압기 결합 비율 M1, M2및 M3을 조정한다.
비록 본 발명이 실시예를 참조하여 상세히 기술되었지만, 이러한 설명은 단지 예일 뿐이며 제한적인 의미로 해석되어서는 안된다. 따라서, 본 발명의 실시예의 세부사항에 대한 다양한 변형 및 추가적인 실시예가 당업자에게는 명백하며, 본 설명을 참조하여 용이하게 이루어질 수 있다는 것을 이해하여야 한다. 이와 같은 모든 변형 및 추가적 실시예들은 첨부된 청구범위에 따른 본 발명의 정신과 진정한 영역 내에 포함된다.

Claims (78)

  1. 플라즈마 매질(medium)을 생성하기 위한 다중-구역 유도-결합된 플라즈마 소오스에 있어서,
    상기 플라즈마 매질에 무선 주파수 전력을 실질적으로 유도 결합시키기 위한 제1 안테나 구조; 및
    상기 플라즈마 매질에 추가적인 무선 주파수 전력을 유도 결합시키기 위한 적어도 하나의 추가 안테나 구조
    를 포함하고,
    상기 제1 안테나 구조와 상기 추가 안테나 구조는, 저압 플라즈마 처리 장비 내에 위치한 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 제공하기 위해 함께 동작하는 다중-구역 유도-결합된 플라즈마 소오스.
  2. 제1항에 있어서, 상기 안테나 구조들에 전력을 공급하도록 동작할 수 있는 복수의 무선 주파수 전원; 및
    실질적으로 균일한 플라즈마 처리를 제공하기 위해 복수의 무선 주파수 전원을 제어하도록 동작할 수 있는 실-시간 다중-변수 제어기
    를 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  3. 제1 항에 있어서,
    상기 안테나 구조에 전력을 공급하도록 동작할 수 있는 복수의 무선 주파수 전원; 및
    실질적으로 균일한 플라즈마 처리를 제공하기 위해 복수의 무선 주파수 전원을 제어하도록 동작할 수 있는 미리 지정된 전원 전력비를 갖는 스칼라 제어기
    를 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  4. 제1항에 있어서, 상기 제1 안테나 구조는 전기 도전 재료로 이루어진 적어도 하나의 안테나 세그먼트를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  5. 제1항에 있어서, 상기 제1 안테나 구조는 전기 도전 재료로 만들어진 적어도 2개의 안테나 세그먼트를 포함하며, 상기 적어도 2개의 안테나 세그먼트는 적어도 하나의 직렬 커패시터를 경유해 직렬로 접속되는 다중-구역 유도-결합된 플라즈마 소오스.
  6. 제5항에 있어서, 상기 하나의 직렬 커패시터는 상기 제1 안테나 구조 양단에 유도되는 상기 무선 주파수 전압을 감소시키도록 동작할 수 있는 다중-구역 유도-결합된 플라즈마 소오스.
  7. 제6항에 있어서, 상기 제1 안테나 세그먼트는 실질적으로 원형의 파열된 링형인 다중-구역 유도-결합된 플라즈마 소오스.
  8. 제1항에 있어서, 상기 적어도 하나의 추가 안테나 구조는 전기 도전 재료로 만들어진 적어도 하나의 안테나 세그먼트를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  9. 제1항에 있어서, 상기 적어도 하나의 추가 안테나 구조는 전기 도전 재료로 만들어진 적어도 2개의 안테나 세그먼트를 포함하고, 상기 적어도 2개의 안테나 세그먼트는 적어도 하나의 직렬 커패시터를 경유해 직렬로 접속되는 다중-구역 유도-결합된 플라즈마 소오스.
  10. 제9항에 있어서, 상기 적어도 하나의 직렬 커패시터는 상기 적어도 하나의 추가 안테나 구조 양단에 유도되는 상기 무선-주파수 전압을 감소시키도록 동작할 수 있는 다중-구역 유도-결합된 플라즈마 소오스.
  11. 제4항에 있어서, 상기 최소한 하나의 안테나 추가 세그먼트 각각은 실질적으로 원형의 파열된 링형을 띠는 다중-구역 유도-결합된 플라즈마 소오스.
  12. 제1항에 있어서, 상기 무선-주파수 전력 및 상기 추가 무선-주파수 전력은 단일 무선-주파수 전원에 의해 전원공급되는 다중-구역 유도-결합된 플라즈마 소오스.
  13. 제12항에 있어서, 상기 안테나 구조들을 상기 단일 무선-주파수 전원에 병렬로 접속시키도록 동작할 수 있는 복수의 가변 커패시터들을 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  14. 제12항에 있어서, 상기 무선-주파수 전원은, 상기 안테나 구조들에 대한 무선-주파수 전력 커플링 효율을 최대화시키도록 동작할 수 있는 무선-주파수 정합 네트워크를 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  15. 제12항에 있어서, 상기 단일 무선-주파수 전원에 결합되어 동작할 수 있는 가변 변압기 커플링 장치를 더 포함하며, 상기 가변 변압기 커플링 장치는 상기 최소한 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 이루도록 다중 변수 제어기에 의해 조절되는 다중-구역 유도-결합된 플라즈마 소오스.
  16. 제15항에 있어서, 상기 무선-주파수 전원은 상기 안테나 구조들에 대한 상기 무선-주파수 전력 커플링 효율을 최대화시키기 위해 무선-주파수 정합 네트워크를 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  17. 제1항에 있어서, 상기 제1 안테나 구조 및 상기 적어도 하나의 추가 안테나 구조는 상기 플라즈마 매질의 서로 다른 영역들 내의 플라즈마 밀도값을 제어할 수 있는 능력을 제공하는 다중-구역 유도-결합된 플라즈마 소오스.
  18. 제13항에 있어서, 상기 가변 커패시터들은 상기 적어도 하나의 기판상에 실질적으로 균일한 플라즈마 처리를 이루기 위해 미리 지정된 값에 따라 설정되는 다중-구역 유도-결합된 플라즈마 소오스.
  19. 제13항에 있어서, 상기 가변 커패시터들은 상기 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 이루기 위해 다중-변수 제어기에 의해 조절되는 다중-구역 유도-결합된 플라즈마 소오스.
  20. 제15항에 있어서, 상기 가변 변압기 커플링 장치 커플링 변수들은 상기 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 이루기 위해 미리 설정된 값에 따라 설정되는 다중-구역 유도-결합된 플라즈마 소오스.
  21. 제1항에 있어서, 상기 무선-주파수 전력 및 상기 추가 무선-주파수 전력은 적어도 2개의 독립된 무선-주파수 전원에 의해 공급되는 다중-구역 유도-결합된 플라즈마 소오스.
  22. 제21항에 있어서, 상기 적어도 2개의 독립된 무선-주파수 전원은, 상기 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 이루기 위해 미리 지정된 전원 설정점에 따라 조절되는 다중-구역 유도-결합된 플라즈마 소오스.
  23. 제21항에 있어서, 상기 적어도 2개의 독립된 무선-주파수 전원은 상기 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 이루기 위해 다중-변수 제어기에 의해 조절되는 다중-구역 유도-결합된 플라즈마 소오스.
  24. 제1항에 있어서, 상기 플라즈마 처리 장비는 반도체 장치 제조 장비인 다중-구역 유도-결합된 플라즈마 소오스.
  25. 제1항에 있어서, 상기 플라즈마 처리 장비는 데이타 저장 장치 제조 장비인 다중-구역 유도-결합된 플라즈마 소오스.
  26. 제1항에 있어서, 상기 안테나 구조는 실질적으로 평면형 안테나를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  27. 제1항에 있어서, 상기 적어도 하나의 추가 안테나 구조는 실질적으로 평면형의 안테나를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  28. 제1항에 있어서, 상기 제1 안테나 구조는 주면형 안테나를 포함하고, 상기 적어도 하나의 추가 안테나 구조는 주면형 안테나를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  29. 제1항에 있어서, 상기 제1 안테나 구조는 원추형 안테나를 포함하고, 상기 적어도 하나의 추가 안테나 구조는 원추형 안테나를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  30. 제1항에 있어서, 상기 제1 안테나 구조는 경사형(graded) 안테나를 포함하고, 상기 적어도 하나의 추가 안테나 구조는 경사형 안테나를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  31. 제1항에 있어서, 상기 제1 안테나 구조 및 상기 적어도 하나의 추가 안테나 구조는 전기적으로 절연 재료로 만들어진 보호 하우징 내에 임베딩되는 다중-구역 유도-결합된 플라즈마 소오스.
  32. 제31항에 있어서, 상기 보호 하우징은 상기 플라즈마 처리 장비의 처리 챔버에 진공 실체를 제공하는 진공 지지 요소에 결합되는 다중-구역 유도-결합된 플라즈마 소오스.
  33. 제31항에 있어서, 상기 보호 하우징은 열전도성 재료를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  34. 제31항에 있어서, 상기 전기 절연 재료는 세라믹 계열의 재료인 다중-구역 유도-결합된 플라즈마 소오스.
  35. 제34항에 있어서, 상기 세라믹 계열의 재료는 알루미늄 나이트라이드인 다중-구역 유도-결합된 플라즈마 소오스.
  36. 제31항에 있어서, 상기 전기 절연 재료는 폴리머 계열의 재료인 다중-구역 유도-결합된 플라즈마 소오스.
  37. 제1항에 있어서,
    상기 제1 안테나 구조에 RF 전력을 제공하기 위한 제1 RF 전원; 및
    상기 적어도 하나의 추가 안테나 구조에 RF 전력을 제공하기 위한 적어도 하나의 별개의 RF 전원
    을 포함하고,
    상기 제1 RF 전원 및 상기 적어도 하나의 별개의 RF 전원은 상기 플라즈마 처리 장비 내의 상기 적어도 하나의 기판 상에 균일한 플라즈마 처리를 달성하도록 연관되는 다중-구역 유도-결합된 플라즈마 소오스.
  38. 제37항에 있어서, 상기 제1 RF 전원 및 상기 적어도 하나의 별개의 RF 전원은 플라즈마 제조 처리 동안에 다중-RDMP 처리 제어 융통성 및 균일 조절을 제공하기 위해 독립적으로 동작하는 다중-구역 유도-결합된 플라즈마 소오스.
  39. 제1항에 있어서,
    상기 제1 안테나 구조에 RF 전력을 제공하기 위한 제1 RF 전원; 및
    상기 적어도 하나의 추가 안테나 구조에 RF 전력을 제공하기 위한 적어도 하나의 별도의 RF 전원
    을 더 포함하고,
    상기 제1 RF 전원 및 상기 적어도 하나의 별도의 RF 전원은 상기 플라즈마 처리 장비 내에서 공간적으로 균일한 플라즈마 밀도 및 이온 전류 밀도를 달성하기 위해 연관되는 다중-구역 유도-결합된 플라즈마 소오스.
  40. 제1항에 있어서, 상기 안테나 구조는, 지정된 기판 크기를 갖는 상기 적어도 하나의 기판 상에 실질적으로 균일한 플라즈마 처리를 제공하도록 선택된 다양한 크기의 공간적 위치를 갖는 복수의 유도 코일 세그먼트를 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  41. 제1항에 있어서, 상기 유도 코일 세그먼트들은 다양한 직경을 갖는 실질적으로 동심원의 파열된 링형으로 형성되는 다중-구역 유도-결합된 플라즈마 소오스.
  42. 제1항에 있어서, 상기 유도 코일 세그먼트 링형의 파열된 부분들은 각도에 어긋나 있는 다중-구역 유도-결합된 플라즈마 소오스.
  43. 제1항에 있어서, 상기 플라즈마 처리 장비 내의 인-시튜 센서(in-situ sensor)를 사용한 실시간 플라즈마 처리 감시를 위한 광학적 뷰포트를 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  44. 제43항에 있어서, 상기 인-시튜 센서는 플라즈마 방출 센서인 다중-구역 유도-결합된 플라즈마 소오스.
  45. 제43항에 있어서, 상기 인-시튜 센서는 전-웨이퍼 간섭계 센서(full-wafer interferometery sensor)인 다중-구역 유도-결합된 플라즈마 소오스.
  46. 제31항에 있어서, 상기 보호 하우징은 상부 유전체판 및 하부 유전체판을 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  47. 제46항에 있어서, 상기 상부 유전체판은 상기 안테나 구조들에 전기적 접속을 제공하기 위한 관통로를 제공하는 다중-구역 유도-결합된 플라즈마 소오스.
  48. 제47항에 있어서, 상기 상부 유전체판은 적어도 하나의 처리 개스 주입 관통로 및 상기 처리 개스 주입 관통로와 관련된 적어도 하나의 개스 확산 공동을 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  49. 제48항에 있어서, 하부 유전체판은 상기 안테나 구조들을 수용하기 위한 공동을 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  50. 제49항에 있어서, 상기 하부 유전체판은 상기 적어도 하나의 개스 공동으로부터 처리 개스를 주입하기 위한 복수의 작은 직경의 홀들을 더 포함하는 다중-구역 유도-결합된 플라즈마 소오스.
  51. 제31항에 있어서, 상기 보호 하우징은 실질적으로 평면형 어셈블리인 다중-구역 유도-결합된 플라즈마 소오스.
  52. 제51항에 있어서, 상기 보호 하우징은 상기 적어도 하나의 기판 크기보다 큰 직경을 갖는 실질적으로 주면형의 어셈블리인 다중-구역 유도-결합된 플라즈마 소오스.
  53. 제9항에 있어서, 상기 적어도 2개의 안테나 세그먼트에 접속된 상기 적어도 하나의 직렬 커패시터는 상기 플라즈마 처리 장비 진공 챔버 바깥에 위치하는 다중-구역 유도-결합된 플라즈마 소오스.
  54. 제1항에 있어서, 상기 안테나 구조는 원형 안테나 세그먼트들을 갖는 다중-구역 유도-결합된 플라즈마 소오스.
  55. 제1항에 있어서, 상기 안테나 구조는 나선형 안테나 세그먼트들을 갖는 다중-구역 유도-결합된 플라즈마 소오스.
  56. 제1항에 있어서, 상기 무선-주파수 전력 및 상기 추가 무선-주파수 전력은 1 MHz 내지 50 MHz 범위의 전기 주파수를 갖는 다중-구역 유도-결합된 플라즈마 소오스.
  57. 제1항에 있어서, 상기 무선-주파수들 전력 및 상기 추가 무선-주파수 전력은 같은 전기 주파수를 갖는 다중-구역 유도-결합된 플라즈마 소오스.
  58. 제1항에 있어서, 상기 안테나 구조들은, 상기 적어도 하나의 기판에서의 플라즈마 처리 파라미터들에 대한 다중-구역 조절 능력을 확립하기 위해, 상기 플라즈마 매질 내에 공간적 분해능(spatial resolution) 및 제어 능력을 제공하는 다중-구역 유도-결합된 플라즈마 소오스.
  59. 플라즈마 처리 장비에서 다중-구역 유도-결합된 플라즈마를 생성하기 위한 방법에 있어서,
    제1 유도-결합된 안테나 구조를 사용하여 플라즈마 처리 개스로부터 플라즈마를 생성하는 단계;
    적어도 하나의 추가 유도 결합된 안테나 구조를 사용하여 플라즈마 처리 개스로부터 독립적으로 플라즈마를 생성하는 단계; 및
    상기 플라즈마 처리 장비 내의 적어도 하나의 기판 상에서 실질적으로 균일한 플라즈마 처리를 달성하기 위해 상기 제1 안테나 구조를 적어도 하나의 추가 안테나 구조와 조화하여 동작시키는 단계
    를 포함하는 플라즈마 생성 방법.
  60. 제59항에 있어서,
    제1 무선 주파수 전원을 사용하여 무선 주파수 전력을 상기 제1 유도-결합된 안테나 구조에 제공하는 단계;
    적어도 하나의 별도의 무선 주파수 전원을 사용하여 무선 주파수 전력을 상기 적어도 하나의 추가 유도-결합된 안테나 구조에 제공하는 단계; 및
    상기 적어도 하나의 기판 상에서 균일한 플라즈마 처리를 달성하기 위해 상기 제1 무선 주파수 전원과 상기 적어도 하나의 별도의 무선 주파수 전원을 관련시키는 단계
    를 더 포함하는 플라즈마 생성 방법.
  61. 제60항에 있어서, 플라즈마 처리 동안에 공정 제어 융통성과 균일한 조절을 제공하기 위해 상기 제1 무선 주파수 전원과 상기 적어도 하나의 별도의 무선 주파수 전원을 독립적으로 작동하며 조절하는 단계들을 더 포함하는 플라즈마 생성 방법.
  62. 제59항에 있어서, 단일의 무선 주파수 전원을 사용하여 상기 제1 유도-결합된 안테나 구조 및 상기 적어도 하나의 추가 유도-결합된 안테나 구조에 무선 주파수 전력을 제공하는 단계들을 더 포함하는 플라즈마 생성 방법.
  63. 제62항에 있어서, 단일의 무선 주파수 전원을 사용하여 무선 주파수 전력을 제공하는 상기 단계는, 적어도 2개의 조절가능한 수동 전기 소자들을 통해 상기 단일의 무선 주파수 전원으로부터의 전력 레벨을 조절가능하게 전달하는 것을 포함하는 플라즈마 생성 방법.
  64. 제63항에 있어서, 실질적으로 균일한 플라즈마 처리를 달성하기 위해 상기 조절가능한 수동 전기 소자에 대한 설정점을 조정하고 독립적으로 작동시키는 단계를 더 포함하는 플라즈마 생성 방법.
  65. 제62항에 있어서, 단일의 무선 주파수 전원을 사용하여 무선 주파수 전력을 제공하는 상기 단계는, 상기 단일의 무선 주파수 전원과 상기 제1 유도-결합된 안테나 구조 사이에 조절가능한 커플링 섹션을 갖는 변압기를 위치시키는 단계를 더 포함하는 플라즈마 생성 방법.
  66. 제65항에 있어서, 실질적으로 균일한 플라즈마 처리를 달성하기 위해, 상기 변압기를 독립적으로 동작시키고, 상기 조절가능한 커플링 섹션을 조절하는 단계를 더 포함하는 플라즈마 생성 방법.
  67. 제59항에 있어서, 상기 플라즈마 처리 장비에서 상기 적어도 하나의 기판의 크기에 따라 크기가 조절된 복수개의 개별적 세트의 유도-결합된 안테나 세그먼트로서 동작하는 상기 적어도 하나의 추가 유도-결합된 안테나 구조를 제어하는 단계들을 더 포함하는 플라즈마 생성 방법.
  68. 제59항에 있어서, 상기 제1 유도-결합된 안테나 구조와 상기 적어도 하나의 추가 안테나 구조 내의 분산된 공진 커패시터들에 의해 상기 제1 유도-결합된 안테나 구조와 상기 적어도 하나의 추가 안테나 구조 양단의 무선 주파수 전압을 감소시킴으로써 상기 플라즈마 처리 장비 내의 전계 유도 아크를 최소화하는 단계를 더 포함하는 플라즈마 생성 방법.
  69. 제59항에 있어서, 실질적으로 균일한 플라즈마 처리를 달성하기 위해 상기 플라즈마 처리 장비를 검사하는 적어도 하나의 인-시튜 센서(in-situ sensor)를 사용하여 상기 제1 유도 결합된 안테나 구조와 상기 적어도 하나의 추가 유도-결합된 안테나 구조의 실시간 다중-구역 제어를 제공하는 단계들을 더 포함하는 플라즈마 생성 방법.
  70. 제60항에 있어서, 상기 제1 무선 주파수 전원에 의해 제공되는 전력과 상기 적어도 하나의 추가 무선 주파수 전원에 제공되는 전력을 실행별 기초하에 조절하기 위해, 센서에 의해 제공된 제어 신호를 다중-변수 제어기로 보내는 단계를 더 포함하는 플라즈마 생성 방법.
  71. 제60항에 있어서, 상기 제1 무선 주파수 전원에 의해 제공되는 전력과 상기 적어도 하나의 추가 무선 주파수 전원에 의해 제공되는 전력을 실시간으로 조절하기 위해, 센서에 의해 제공된 제어 신호를 다중-변수 제어기로 보내는 단계를 더 포함하는 플라즈마 생성 방법.
  72. 다중-구역 고밀도의 유도-결합된 플라즈마 소오스를 형성하는 방법에 있어서,
    플라즈마 처리 개스로부터 플라즈마를 생성하기 위해 제1 세트의 유도 결합된 코일 세그먼트들을 형성하는 단계; 및
    플라즈마 처리 개스로부터 플라즈마를 독립적으로 생성하기 위해 적어도 하나의 별도 세트의 유도-결합된 코일 세그먼트들을 형성하는 단계 ―여기서, 상기 제1 세트의 개별적으로 제어가능한 유도 결합된 코일 세그먼트들과 상기 적어도 하나의 별도 세트의 유도-결합된 코일 세그먼트들은 실질적으로 균일한 플라즈마 처리를 달성하기 위한 공간 분해 다중-구역 동작을 위해 상호 연관됨―
    를 포함하는 플라즈마 소오스를 형성하는 방법.
  73. 제72항에 있어서,
    상기 제1 세트의 유도 결합된 코일 세그먼트에 무선 주파수 전력을 제공하기 위한 제1 무선 주파수 전원을 형성하는 단계; 및
    상기 적어도 하나의 별도 세트의 유도 결합된 코일 세그먼트들에 무선 주파수 전력을 제공하기 위한 적어도 하나의 별도의 무선 주파수 전원을 형성하는 단계를 포함하고,
    상기 제1 무선 주파수 전원과 상기 적어도 하나의 별도의 무선 주파수 전원은, 적어도 하나의 기판에 작용하는 반도체 장치 플라즈마 제조 장비 처리 환경에서 균일한 플라즈마 밀도와 이온 전류 밀도를 달성하도록 형성되는 플라즈마 소오스를 형성하는 방법.
  74. 제74항에 있어서, 플라즈마 제조 처리 동안에 처리 제어 융통성과 균일성 조절을 제공하도록 독립적으로 동작할 수 있는 상기 제1 무선 주파수 전원과 상기 적어도 하나의 별도의 무선 주파수 전원을 더 포함하는 플라즈마 소오스를 형성하는 방법.
  75. 제73항에 있어서,
    상기 제1 세트의 유도 결합된 코일 세그먼트에 무선 주파수 전력을 제공하기 위한 제1 무선 주파수 전원을 이용하는 단계; 및
    상기 적어도 하나의 별도 세트의 유도 결합된 코일 세그먼트들에 RF 전력을 제공하기 위한 적어도 하나의 별도의 무선 주파수 전원을 이용하는 단계;
    상기 제1 무선 주파수 전원과 상기 적어도 하나의 별도의 무선 주파수 전원은, 플라즈마 제조 처리 환경에서 충분한 강도의 플라즈마 밀도와 이온 전류 밀도를 제공하도록 동작되는 플라즈마 소오스를 형성하는 방법.
  76. 제73항에 있어서, 선정된 기판 크기에 따라 크기 조절된 파열된 링형의 복수의 유도 결합된 코일을 포함하는 상기 적어도 하나의 별도 세트의 유도 결합된 코일 세그먼트를 형성하는 단계를 더 포함하는 플라즈마 소오스를 형성하는 방법.
  77. 제73항에 있어서, 플라즈마 제조 처리 환경에서 전계 유도 아크를 최소화하기 위해 적어도 하나의 직렬 커패시터를 이용하여 상기 유도 결합된 코일 세그먼트를 상호접속하는 단계를 더 포함하는 플라즈마 소오스를 형성하는 방법.
  78. 제73항에 있어서, 상기 제1 세트의 유도-결합된 코일 세그먼트들과 상기 적어도 하나의 별도 세트의 유도-결합된 코일 세그먼트의 다중-구역 제어를 가능케하는 플라즈마 제조 처리 환경 내에서의 연관을 위해, 적어도 하나의 인-시튜 센서를 이용하는 단계를 더 포함하는 플라즈마 소오스를 형성하는 방법.
KR1019997000146A 1996-07-10 1999-01-09 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법 KR20000023689A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/678,065 1996-07-10
US08/678,065 US5846883A (en) 1996-07-10 1996-07-10 Method for multi-zone high-density inductively-coupled plasma generation

Publications (1)

Publication Number Publication Date
KR20000023689A true KR20000023689A (ko) 2000-04-25

Family

ID=24721236

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019997000146A KR20000023689A (ko) 1996-07-10 1999-01-09 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법

Country Status (6)

Country Link
US (2) US5846883A (ko)
JP (1) JP2000515304A (ko)
KR (1) KR20000023689A (ko)
GB (1) GB2332979A (ko)
TW (1) TW363332B (ko)
WO (1) WO1998001893A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100845903B1 (ko) * 2006-12-11 2008-07-16 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
KR100980281B1 (ko) * 2007-12-24 2010-09-06 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 이중 플라즈마 반응기
KR101384583B1 (ko) * 2007-08-02 2014-04-14 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101424487B1 (ko) * 2007-08-31 2014-07-31 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101468730B1 (ko) * 2007-08-31 2014-12-09 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3423186B2 (ja) * 1997-04-09 2003-07-07 東京エレクトロン株式会社 処理方法
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
DE19814805A1 (de) * 1998-04-02 1999-10-07 Bosch Gmbh Robert Beschichtungsverfahren eines Wischergummis
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6397775B1 (en) * 1998-10-16 2002-06-04 Canon Kabushiki Kaisha Deposited film forming system and process
GB2387023B (en) * 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
IT1312150B1 (it) * 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
US6502529B2 (en) 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
TW588222B (en) * 2000-02-10 2004-05-21 Asml Netherlands Bv Cooling of voice coil motors in lithographic projection apparatus
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
AU2001239906A1 (en) * 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
KR101089123B1 (ko) * 2002-11-05 2011-12-05 코닌클리케 필립스 일렉트로닉스 엔.브이. 무기 반도체 물질의 나노구조물, 전자 디바이스, 화합물 반도체 물질의 나노구조물 제조 방법, 및 전자 디바이스 제조 방법
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US7235155B2 (en) * 2003-03-14 2007-06-26 Tokyo Electron Limited Method and apparatus for monitoring plasma conditions using a monitoring ring
EP1612848B1 (en) 2003-03-26 2013-09-25 Osaka University Extreme ultraviolet light source, extreme ultraviolet light source targets and methods of manufacturing an extreme ultraviolet light source target
US6806651B1 (en) * 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US20040237888A1 (en) * 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
GB0403374D0 (en) * 2004-02-16 2004-03-17 Tesla Engineering Ltd Cooling of coils in magnetic resonance imaging
US7663319B2 (en) * 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) * 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
JP3616088B1 (ja) * 2004-03-17 2005-02-02 独立行政法人科学技術振興機構 マイクロプラズマジェット発生装置
US20050205969A1 (en) * 2004-03-19 2005-09-22 Sharp Laboratories Of America, Inc. Charge trap non-volatile memory structure for 2 bits per transistor
US7750575B2 (en) * 2004-04-07 2010-07-06 Zond, Inc. High density plasma source
US20050235915A1 (en) * 2004-04-27 2005-10-27 Ho Yeu-Chuan S Plasma surface treatment electrode assembly and arrangement
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR20060032454A (ko) * 2004-10-12 2006-04-17 삼성전자주식회사 다결정 실리콘 제조방법
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7474273B1 (en) 2005-04-27 2009-01-06 Imaging Systems Technology Gas plasma antenna
JP5116667B2 (ja) * 2005-06-10 2013-01-09 バード テクノロジーズ グループ インク. 半導体プラズマ発生システムにおける電力潮流を解析するシステムと方法
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
JP4782585B2 (ja) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US7759600B2 (en) * 2006-03-15 2010-07-20 Samsung Austin Semiconductor, L.P. Rupture resistant plasma tube
US7719471B1 (en) 2006-04-27 2010-05-18 Imaging Systems Technology Plasma-tube antenna
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US7999747B1 (en) 2007-05-15 2011-08-16 Imaging Systems Technology Gas plasma microdischarge antenna
TWI423737B (zh) * 2007-05-22 2014-01-11 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
TWI383712B (zh) * 2007-05-22 2013-01-21 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US7922864B2 (en) * 2007-11-20 2011-04-12 Optisolar, Inc. Quick-change precursor manifold for large-area CVD and PECVD
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US20110127273A1 (en) 2007-12-11 2011-06-02 TOKITAE LLC, a limited liability company of the State of Delaware Temperature-stabilized storage systems including storage structures configured for interchangeable storage of modular units
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
KR101591404B1 (ko) * 2008-05-22 2016-02-03 가부시키가이샤 이엠디 플라즈마 생성장치 및 플라즈마 처리장치
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
TWI386112B (zh) * 2008-08-21 2013-02-11 Atomic Energy Council 射頻中空陰極電漿源產生裝置
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101015534B1 (ko) * 2008-10-15 2011-02-16 주식회사 동부하이텍 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
TW201105183A (en) * 2009-07-21 2011-02-01 Delta Electronics Inc Plasma generating apparatus
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097518A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Vertically integrated processing chamber
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102971449B (zh) * 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP5916044B2 (ja) * 2010-09-28 2016-05-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5800547B2 (ja) * 2011-03-29 2015-10-28 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101196309B1 (ko) * 2011-05-19 2012-11-06 한국과학기술원 플라즈마 발생 장치
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101246191B1 (ko) 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR20140087215A (ko) * 2012-12-28 2014-07-09 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR102279673B1 (ko) * 2014-01-15 2021-07-21 갈리움 엔터프라이지즈 피티와이 엘티디 필름 내의 불순물의 감소를 위한 장치 및 방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN105118767B (zh) * 2015-07-27 2017-04-12 郑州大学 等离子体刻蚀设备
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
JP6745134B2 (ja) * 2016-05-12 2020-08-26 東京エレクトロン株式会社 プラズマ処理装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102634044B1 (ko) * 2016-09-06 2024-02-06 주성엔지니어링(주) 기판 처리 장치용 가스 분사 장치 및 기판 처리 장치
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018093664A1 (en) * 2016-11-21 2018-05-24 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180097064A (ko) * 2017-02-22 2018-08-30 삼성전기주식회사 안테나 장치 및 이를 구비하는 휴대 단말기
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102510329B1 (ko) * 2018-06-25 2023-03-17 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치 및 성막 처리 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7229061B2 (ja) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 基板のエッチング装置及びエッチング方法
JP7225058B2 (ja) * 2019-08-19 2023-02-20 株式会社東芝 高周波アンテナ及びプラズマ処理装置
US11415538B2 (en) * 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US20220028663A1 (en) * 2020-07-23 2022-01-27 Applied Materials, Inc. Plasma source for semiconductor processing
KR102603678B1 (ko) * 2020-10-13 2023-11-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
FR2711035B1 (fr) * 1993-10-04 1995-12-29 Plasmion Dispositif et procédé pour former un plasma par application de micro-ondes.
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100845903B1 (ko) * 2006-12-11 2008-07-16 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 플라즈마 반응기
KR101384583B1 (ko) * 2007-08-02 2014-04-14 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101424487B1 (ko) * 2007-08-31 2014-07-31 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR101468730B1 (ko) * 2007-08-31 2014-12-09 최대규 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR100980281B1 (ko) * 2007-12-24 2010-09-06 주식회사 뉴파워 프라즈마 다중 코어 플라즈마 발생기를 갖는 이중 플라즈마 반응기

Also Published As

Publication number Publication date
TW363332B (en) 1999-07-01
GB9900408D0 (en) 1999-02-24
US5846883A (en) 1998-12-08
US20010047760A1 (en) 2001-12-06
WO1998001893A1 (en) 1998-01-15
JP2000515304A (ja) 2000-11-14
GB2332979A (en) 1999-07-07

Similar Documents

Publication Publication Date Title
KR20000023689A (ko) 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법
US6203620B1 (en) Hermetically-sealed inductively-coupled plasma source structure and method of use
US6916399B1 (en) Temperature controlled window with a fluid supply system
US5082542A (en) Distributed-array magnetron-plasma processing module and method
KR100369720B1 (ko) 오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기
KR101826843B1 (ko) B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스
CN1945807B (zh) 控制衬底温度的装置
US7132618B2 (en) MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
CN102106191B (zh) 具有可控制分配rf功率至制程套组环的等离子体反应器的工件支撑件
US6095084A (en) High density plasma process chamber
US5079481A (en) Plasma-assisted processing magneton with magnetic field adjustment
US6568346B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
KR100272189B1 (ko) 플라즈마 처리장치
US6907924B2 (en) Thermally conductive chuck for vacuum processor
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
EP0838841A2 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
US20030087488A1 (en) Inductively coupled plasma source for improved process uniformity
US20060005930A1 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
CN101023197A (zh) 晶片加热器组件
EP0975819A1 (en) Apparatus and method for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
WO2007041041A2 (en) Bonded multi-layer rf window
KR980011769A (ko) 유도 결합된 hdp-cvd 반응기
WO2003103004A2 (en) A cathode pedestal for a plasma etch reactor
WO2000079564A2 (en) Plasma reactor with internal inductive antenna capable of generating helicon wave
US20140150975A1 (en) Plasma processing device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid