TWM314913U - Substrate pedestal assembly - Google Patents

Substrate pedestal assembly Download PDF

Info

Publication number
TWM314913U
TWM314913U TW095218711U TW95218711U TWM314913U TW M314913 U TWM314913 U TW M314913U TW 095218711 U TW095218711 U TW 095218711U TW 95218711 U TW95218711 U TW 95218711U TW M314913 U TWM314913 U TW M314913U
Authority
TW
Taiwan
Prior art keywords
base
substrate
fluid conduit
base assembly
electrostatic chuck
Prior art date
Application number
TW095218711U
Other languages
English (en)
Inventor
John Holland
Theodoros Panagopoulos
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TWM314913U publication Critical patent/TWM314913U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Jigs For Machine Tools (AREA)
  • Physical Vapour Deposition (AREA)

Description

M314913 九、創作說明: 【創作所屬之技術領域】 本創作之實施例係有關於半導體基材處理系統。更明 確而言,本創作有關於用來控制半導體基材處理系統中之 基材溫度設備。 【先前技術】 在積體電路的製造過程中,精確地控制各種製程參數 是達成基材内部具有一致的處理結果並使各個基材間之處 理結果具有再現性的必要條件。當處理基材時,整個基材 上的温度變化與溫度梯度可能不利於材料沉積、蝕刻速 度、階梯覆蓋率、特徵傾斜負度以及其他半導體元件參數。 例如,橫跨整個基材的溫度預定分佈形態即是達到高基材 良率的關鍵要素之一。 在一些製程方法中,會於處理過程中藉由一靜電夾盤 將基材固持於基材基座上。該靜電夾盤係藉著夾子、黏著 劑或固定件而耦接至該基座的底座。該夾盤可能具有一包 埋式的電加熱器,並且該電加熱器係以可流通流體的方式 連接至後方的熱交換氣體來源,以於處理過程中控制基材 溫度。 然而,傳統基材基座無法有效地控制基材整個直徑上 之溫度分佈輪廓。而無法控制基材溫度均勻性這點對於單 一基材中或基材與基材之間的處理均一性以及元件良率與 處理過之基材的整體品質等方面均具有不良影響。 5 M314913 因此,目前需要一種能在半導體基材處理設備中處 基材時用來控制基材溫度的改良方法。 【創作内容】 本創作大致關於一種在半導體基材處理設備中處理 材時用來控制基材溫度的方法與設備。該方法與設備能 南在整個基材直徑上的溫度控制輪廓,並適用於蝕刻、 積、植入與熱處理系統以及其他多種需要控制工件之溫 分佈的應用中。 本創作一實施例提供一種基材基座組件,其包含一 接至一靜電夾盤底表面之金屬底座。一孔洞延伸穿過該 座。一流體導管係設於該靜電夾盤及該底座中至少其中 者’其中該流體導管包含一圍繞該孔洞之區段。 本創作之另一實施例提供一種基材基座組件,其包 一靜電夾盤,其具有至少一夾盤電極,設於一基材支標 面及一底表面之間;以及一金屬底座,其具有一輕接 該夾盤電極之底表面的頂表面,該靜電夾盤及該底座中 少其中一者内界定有一空間。一流體導管係設於該底座 且排列成大致與該頂表面平行。該流體導管具有一以該 座為中心之長曲線(major curvature)以及一以相對於該 洞配置之短曲線(minor curvature)。 本創作另一實施例係提供一種基材基座組件,該基 基座組件包含一個耦接至一金屬底座的靜電夾盤。該靜 夾盤包含至少一夾盤電極,且該金屬底座内部包含至少 理 基 提 沉 度 耦 底 含 表 至 至 内 底 孔 材 電 兩 6 M314913
流體隔離的導管迴路。 在另一貫施例中,該基座組件包含一支撐件 件係藉著一材料層連接至至一底座。該材料層具 個熱傳導係數不同的區域。在另一實施例中,該 組件包含一靜電夾盤。在又一實施例中,一基座 多個通道,且該等通道位於該底座與該支撐件之 冷卻氟體供應至該材料層的附近,進而控制該支 底座之間的熱交換,因而控制位於該支撐件上之 度分佈輪廓。 該基材組件包含一支撐件,並利用一材料層 件連接至該底座。該材料層具有至少兩個熱傳導 的區域。在另一實施例中,該支撐間係一靜電夾 一實施例中,一基座組件具有多個位於該底座與 之間的通道,以將冷卻氣體供應至該材料層附近 控制該支撐件與該底座之間的熱傳導,而有助於 該支撐件上之基材的溫度分佈輪廓。 【實施方式】 本創作大致關於一種在處理過程中控制基材 法與设備。雖然文中係以諸如一處理反應 CENTURA®整合式半導體晶圓處理系統(講自 Materials,Inc· of Santa Clara,California)等半 處理設備為例來描述本創作,然本創作亦可應用 理系統中,包括蝕刻、沉積、植入、熱處理或其 ,該支撐 有至少兩 基材基座 組件具有 間,以將 撐件與該 基材的溫 將該支撐 係數不同 盤。在又 該支撐件 ,進一歩 控制位在 溫度的方 (模組)或 Applied 導體基材 於其他處 他需要控 7
M314913 制基材或工件溫度分佈輪廓的用途中。 第1圖繪示一示範性蝕刻反應器1 00的示意圖 刻反應器100具有根據本創作所實施的基材基 1 1 6。此處所顯示的蝕刻反應器1 00係用來說明本創 非用來限定本創作範圍。 蝕刻反應器100通常包含一處理室110、一配氣 panel)138與一控制器140。該處理室110包含一導 (壁)130與一頂板120,以圈圍出一處理體積。處理 從該配氣盤1 3 8供應至該處理室11 0的處理體積中 該控制器140包含一中央處理器(CPU)l 44、一 142與支援電路146。該控制器140係耦接至該蝕刻 1 00的多個元件,且該控制器1 40會控制該等元件 該處理室1 1 0中執行的處理步驟,以及幫助一選擇 與積體電路廠房中的資料庫進行交換。 在所繪示的實施例中,該頂板1 20係一實質平 電部件。該處理室1 1 0的其他實施例則可能具有其 的頂板,例如圓頂狀的頂板。該頂板1 2 0的上方安 線1 1 2,該天線1 1 2包含一個或多個感應線圈元件 圖中所示範的同軸線圈11 2A與11 2B。該天線1 1 2 第一匹配網路170耦接至一射頻(RF)電漿電源118。 在一實施例中,該基材基座組件 1 1 6 包含一 126、一 導熱層(thermoconductive layer)134、一底 i 一軸環(collar ring)152、一 接合環(joint ring)154、 板(spacer)178、一 基層板(ground sleeve)162 與一架 ,該雀虫 座組件 作,而 盤(gas 電主體 氣體係 〇 記憶體 反應器 、控制 性數據 坦的介 他種類 置有天 ,例如 透過一 支撐件 ^ 1 14、 一間隔 設組件 8 M314913
(mounting assembly)162。該架設組件 162 將該底座 114 接至該處理室110。該底座114通常由紹或其他金屬材 所構成。在所繪示的實施例中,該底座1 1 4更可選擇性 包含至少一包埋式加熱器158(圖中顯示一個加熱器158 為示範)、至少一包埋式插入件1 6 8 (圖中顯示一環狀插 件)以及多個導管1 60,且該等導管以可流體連通的方式 接至一加熱或冷卻液體來源丨82。在該實施例中,更藉 一選擇性的間隔板178使該底座114與該基層板164熱 離。
遠導官160與加熱器158可用來控制該底座114 度’藉以加熱或冷卻該支撐件126,進而在處理過程 伤控制位於該支撐件丨26上之基材丨5〇的溫度。 構成該插入件1 68之材料的熱傳導係數不同於該 114鄰近區域材料之熱傳導係數。通f,該插入件16 熱傳導係數小㈣底座的熱傳導係數。在又-實施例该插入件168可能由一種具有異向性的材料所構成, 有方向依賴性熱傳導係數的材料所構成。該插 1 6 8的功能係相對 …、得V路徑中該些不具有插入件 座鄰近區域的熱僂道 傳導速率而藉著該等導管16〇透過 1 1 4來局部性地改變 可,著… 件126間的熱傳導速率。因 了精者控制该插入件 2么扯 ㈢ 形狀、大小、位置盥埶 係數,來控制該支撐株a a 置/、… 雖然第1圖中所给_认上 土何的/皿度刀 妒狀可A U 9 人件為環圈狀,然,該插入 形狀可為各種樣式。 料 地 作 入 耦 著 隔 溫 部 座 的 件 底 座 導 〇 的 9
M314913 導…層I34係安置於該底座114的夾盤支樓表面 180上’並幫助該支撐件126與該底座114之間的熱耦合 (即”、、又換)°在一示範性實施例中,該導熱層1 3 4係一 黏著層’其機械性地將該支撐件接合至支撐表面18〇。或 者°玄基材基座乡且件11 6可包含諸如夾子、螺、絲、等硬體(未 顯示),以將該支撐件126固定至該底座114。利用多個諸 如熱電偶等感應器(未顯示)來監控該支撐件I%與該底座 114的皿度,且該等感應器耦接至一溫度監控器174。 。亥支撐件1 2 6係安置於該底座上,且被環圈i 2 5與^ $ 4 所圍繞。該支撐件可由鋁、陶瓷或其他適合於處理過程中 用來支撐基材150的材料所製成。在一實施例中,該支撐 件126為陶瓷。該基材15〇可藉著重力而安置在該支撐件 126上,或藉由真空、靜電力、機械性失合等方式來固定 在該支撐件126上。在第1圖所繪示的實施例中,該支撐 件丨26為一靜電夾盤188。 遠靜電夾盤1 8 8 —般是由陶瓷或類似的介電材料所形 成’並包含至少一個由電源1 28所控制的失盤電極。在又 一實施例中,該靜電夾盤188可能包含至少一射頻電擊(RF electrode,未顯示),該射頻電極係透過一第二匹配網路 124而輕接至一基材偏壓電源122,且該靜電夾盤亦可能包 含至少一包埋式加熱器184,並使用一電源132來控制該 加熱器1 8 4。 該靜電夾盤188可能更包含多個氣體通道(未顯示), 例如多個溝槽,該些通道形成於該夾盤的基材支撐表面 10
M314913 176中,且流體連通性地编接至一熱交換氣體來源 氣體來源148。運作時,諸如氦氣(He)等背側氣體 控制壓力供應至該等氣體通道中,以提高該靜電爽 與該基材1 5 0之間的熱傳導。傳統上,至少在該靜 之基材支撐表面176上提供能抵抗處理基材時所使 學物質與溫度的塗層。 一 σ 土 7 一 件166(圖中係顯示一環形插入件166),且該包埋 166係由至少一種其熱傳導係數與該支撐件 材料之熱傳導係數不同的材料所製成。通常,形 件166之材料的熱傳導係數小於該鄰近區域之材 導係數。纟又一實施例中,該插入件係由具有異 導係數的材料所形成。在另一實施例中(未顯示) 插入件166與該基材支撐表面176共平面。 如同底座η 4的插入件1 68般,該支撐件Γ 入件166之熱傳導係數、形狀、尺寸、位μ數 擇性地加以挑選,以控制穿過該基座組件116的 而在違支撐件1 26之基材支撐表面以及橫跨該基 正個直L上達到一預定模式的溫度分佈形態。 、孩V熱層134包含多個材料區域(圖中顯示 區域102座 ” 及0形區域106),該些區域中 ,者具有不同的熱傳導係數。區域lG2、1〇4、n 少一種材料所形成, 埶 且该些材料之熱傳導係數不 …層134之鄰近區域 场材料的熱傳導係數。在又 或背側 係以一 盤 188 電夾盤 用之化 式插入 插入件 近區域 該插入 的熱傳 性熱傳 至少一 中的插 均可選 傳導, 150的 個環形 少其中 可由至 於該導 實施例
M314913 中,包含該等區域102、104與106的一十夕仏 1 或多種材料可 有一異向性熱傳導係數。例如,在該導熱声1 3 4中 垂直或平彳于於支撑表面1 8 0之材料的熱傳導係數可能 少一其他方向中之熱傳導係數不同。該導熱層134之 102、104與106之間的熱傳導係數可加以選擇以增 夾盤1 2 6與該底座1 1 4間不同的側向熱傳導速率,進 制橫跨該基材1 5 0直徑上的溫度分部輪麼。 在又一實施例中,顯示於第2A圖中的多個間隙 可能位於該導熱層1 34之至少兩鄰近區域之間。在該 層134中’該些間隙190可能會形成具有預定之外形 (form factor)的氣體填充體積或真空體積。或者,該 190可能形成於該導熱層134的一區域中(如第1C 示)〇 第2圖係沿著第1A圖之線段2-2所繪示的基材 剖面圖。在所繪示的實施例中,該導熱層丨3 4示範性 含該等環形區域102、104與該圓形區域1〇6。在另一 例中,該導熱層1 3 4可能包含多於三個或少於三個區 且該些區域具有不同的外形架構,例如該些區域可能 成格狀、放射狀或極狀(polar)等多種排列方式。構成 熱層134之該些區域的材料(例如黏著材料)可製成能 歩變成一硬質黏著化合物的黏膠(paste)、膠帶或黏著 (adhesive foil)等形式。該導熱層134中之該等材料的 導係數範圍係〇·〇1至200W/mK,且在一示範性實施存 其範圍介於〇·1至10W/mK。在又一實施例中,該等 能具 該些 與至 區域 進該 而控 190 導熱 架構 間隙 圖所 基座 地包 實施 域, 配置 該導 進一 薄膜 熱傳 |中, 鄰近 12
M314913 區域之熱傳導係數差值約介於〇· 1至1 〇W/mK,該導熱層 1 3 4之最内側區域與最外側區域之間的熱傳導係數差值約 介於0.1至10W/mK之間。 適合的黏著材料範例包括但不侷限於含有丙烤酸系化 合物(acrylic compounds)或矽類化合物(silicon_based compounds)的黏膠或膠帶。該等黏著材料可更包含至少一 種熱傳導性陶瓷填充物,例如氧化鋁(Al2〇3)、氮化鋁(A1N) 與二棚化欽(TiB2)及其他類似物。例如,商品名為 THERM ATTACH®的膠帶便適合做為該導熱層134的黏著 膠帶,其可購自於Parker Hannfin有限公司之chomerics 分部(Wolburn,Massachusetts)。 在該導熱層134中,該些具有一預定熱傳導係數之區 域的熱傳導性、外形配置、尺寸與數量可選擇性地加以挑 選,以控制該靜電夾盤1 26與該底座之間熱傳導,而在操 作過程中於該夾盤的基材支撐表面176與該基材15〇上達 到一預定的溫度分佈形態。為了更進一步透過介於該底座 1 14與該支撐件126間之導熱層134來控制該熱傳導作 用,係提供一或多個用來流經一熱傳導介質的通道1 〇 8。 該等通道108係穿過該底座114而連接至諸如冷卻氣體等 熱傳導介質來源1 50。適當的冷卻氣體範例包括氦氣與氤 氣等氣體。當冷卻氣體位於該等通道108中時,其為該夾 盤1 2 6與該底座1 1 4間之熱傳導路徑的一部份,該等通道 1 0 8的位置以及所供應之冷卻氣體的壓力、流速、溫度、 密度與組成對整個基座組件116的熱傳導輪廓(heat 13
M314913 transfer profile)提供更佳的控制。此外,在處理基材150 的過程中’右月匕原位控制(c〇ntr〇iied in-situ)通道中之 氣體的岔度與流速,則可在處理過程中改變對該基材1 5 0 的溫度控制,而更進一步提高處理效能。雖然圖中僅顯示 單個冷卻氣體來源1 5 6,但亦可使一個或多個冷卻氣體來 源連接至該等通道1 〇 8,以獨立控制個別通道1 〇 8中之冷 卻氣體的種類、壓力及/或流速,進而有助於提供更佳的 (even greater level)溫度控制。 在第1A圖所繪示的實施例中,該等通道1 08係形成 於該支撐表面1 8 0中。然而,該些通道丨〇 8亦可至少一部 份形成於該支撐表面1 8 〇中,至少一部份位於該支撐件1 2 6 的底面中’或是至少一部份位於該導熱層134中或上述三 種方式的結合。在一實施例中,該基座組件〗丨6中安置有 約2至1 〇條的通道! 〇8,且可選擇性地使該些通道丨〇8的 壓力約維持在760托耳(大氣壓力)至10托耳(Torr)之間。 例如’如第3 - 4圖所示般,該等通道丨〇 8中之至少一者可 部分或完全位於該靜電夾盤126中。更明確而言,第3圖 係緣示該基材基座組件丨丨6的部份示意圖,其中該等通道 108完全位於該靜電夾盤126中。第4圖係繪示該基材基 座組件1 1 6的部份示意圖,其中該等通道丨〇5係一部份位 於該底座114中,且一部份位於該靜電夾盤126中。第5 圖繪示該基材基座組件丨丨6的部份示意圖,其中該等通道 108係形成於該導熱層134中。雖然在第5圖中,該等通 道安置於該導熱層134的不同區域1〇2、1〇4、ι〇6之間, 14 M314913 、口 一或夕個通道貫穿形成於一或多個區域102、104 與106中。 回到 置、形狀 數以及位 以控制從 程中使該 分部形態 施例中, 力以及至 兩該基材 的氣體種
Α圖’該等通道108與插入件166、168的位 、尺寸與數量、該些插入件1 66、1 6 8的熱傳導係 於違等通道1 〇 8中的氣體可加以選擇性地挑選, 支撐件1 2 6至該底座的熱傳導,進而在操作過 夾盤126之基材支撐表面176達到一預定的溫度 並控制該基材1 5 0的溫度分佈輪廓。在又一實 可選擇性地控制至少一通道丨〇8中的冷卻氣體壓 ’ ‘管1 6 0中的冷卻液體流速,以達到並/或提 的溫度控制。亦可藉著獨立控制個別通道丨〇8中 類、壓力與或流速來控制熱傳導速率。 在又一實施例中,可單獨或合併使用諸如導熱層 134、插入件166、168、通道1〇8、導管16〇、通道1〇8中 的冷卻氣體壓力以及導管1 60中的冷卻液體流速等上述控 制方法來達成該基材1 50中的預定溫度分佈形態。此外,
在上述實施例中,更可選擇性地控制該基材支撐表面1 76 上及該基材150中的預定溫度分佈形態,以補償在處理基 材150的過程中因處理氣體與/或基材偏壓電漿所造成之 熱流不均勻性。 第ό圖係〆方法600的流程圖,其顯示本創作用於半 導體基材處理設備中控制基材溫度之方法的實施例。該方 法6 0 0示範性地包括在上述貫施例中所敘述之反應器1 0 〇 中執行於該基材上的多個處理步驟,且該方法6〇〇亦可在 15
M314913 其他處理糸統中執行。
該方法600起始於步冑⑷,並進行㈣6Q ⑼”,該基材150被傳送至位於該處理室" 座組件1 1 6。步驟604 #免丨® 、 哪ου4係利用諸如機械手壁(未顯示)等 將該基材150安置於該靜電夾盤188的基材支擇表面 上步驟606係使電源132與該靜電失盤188銜接, 該基材150固定在該夾盤188的支撐表面176上。步驟 係於該處理室110中根據該控制器14〇指示執行的製 法來處理該基材150。在步驟6〇8中,該基材基座組件 係利用如第1 - 5圖所述之基座組件丨丨6的一個或多個 控制特性來幫助該基材1 5 0達到指定的溫度分佈形態 可藉著改變該通道1〇8中之氣體的一種或多種特性, 擇性地原位(in-situ)調整在步驟608中該夾盤114的 導速率與/或熱傳導輪廓。當完成該處理製程時,步驟 係使該電源丨3 2與該靜電夾盤脫離以鬆開該基材1 5 0 將該基材出該處理室丨丨〇。步驟6〗2係方法600的終 第7 - 9圖係一底座7 0 0之實施例的垂直剖面圖、 圖與部份剖面圖。使用該底座7 0 〇對於文中任一種基 座組件有所助益。在第7 - 9圖所繪示的實施例中,該 700包含一頂面702與一底面704。一通道706形成於 座700的底面704中。一蓋件708覆蓋著該通道706 成一流體導管710。,該導管710包含一入口 714與 口 7 1 6,以便於接受一適當且便利的銜接附件而連接 第1圖所示般的熱傳導流體控制源1 8 2。 步驟 該基 裝置 176 以將 608 程方 1 16 溫度 。亦 以選 熱傳 610 ,並 ° 下視 材基 底座 該底 而形 一出 至如 16
M314913 在第7-9圖所績示的實施例中,該通道 加工而形成於該底座700的底面中。係執行 一個或多個鰭片,且該些鰭片係延伸至由通 出來的區域中。該鰭片712能增加導管710 積,而得以提高該導管7 1 0中之流體與該底 傳導。 該蓋件708位於該通道706中並嘔接至 以定義出該導管710。在第7-9圖所繪示的 蓋件708係連續第焊接至該底座700,以避 下在該導管71 0中流動的流體洩漏出來。該 藉由其他的密封方法來密封性地耦接至該底> 第1〇Α_Η圖係繪示具有不同導管710設 7〇〇的下視圖。如圖所示般,該導管 710 ^ 以提供該支撐組件一預定的溫度輪廓,因而 撐組件上方之基材的溫度輪廓。 第11-12圖繪示一底座11 00之另一實施 圖與下視圖,該底座可應用於文甲所述之 中。第11-12圖中所繪示的底座11 00通常包 離的冷卻迴路1 1 02與1 1 04,該等冷卻迴路 座 1 1 00中以定義出至少兩個獨立且可控 1106與1108。該等冷卻迴路1102與1104通 述方法或其他適當方法所形成的導管。在一 第一冷卻迴路 11 02係放射狀地配置於該 11 〇 4的外側,使得該等溫度控制區域11 〇 6 j 706係經機械 機械加工產生 道706所定義 的熱傳導表面 座700間的熱 該底座700, 實施例中,該 免在真空狀態 蓋件708亦可 座 7 0 0 〇 計路線之底座 Γ 盤繞(routed) 控制位於該支 例的部份剖面 基材基座組件 含至少兩個分 係形成於該底 制的溫度區域 常是利用如上 實施例中,該 第二冷卻迴路 每1108呈同心 17 M314913 狀。違等迴路11 〇2與ii〇4可呈輻射狀定位,或是具 他邊何構形。該等冷卻迴路1 1 02與11 04可耦接至單 溫度受到控制的熱傳導流體來源,或如第11圖所繪示 • 施例般,迴路1 1 〇2與11 04可分別連接至分離的熱傳 體來源1 1 1 2與1 1 1 4,以獨立控制該等區域丨丨05與 中的/JDL度。亦可選擇性地將一類似上述插入件1 6 8的 件1 Π 0側向地安置在該第一與第二冷卻迴路之間,以 _ 域1106與11〇8之間提供更佳的熱絕緣效果。該插 1 π 〇可如第丨丨圖所示般地延伸至該底座1丨〇〇的下表 或疋士第12圖所示般地包埋在該底座中。 因此’本創作提供一種能彈性地控制位於其上方 • 材溫度的基材支撐基座組件。該基材支撐基座組件的 • 特徵可加以選擇,以提供多個溫度控制區域,進而控 • 基材的溫度分佈輪廓。 上述内谷係有關於本創作多個實施例之描述。然 可在不偏離本創作範圍下設計出其他或更進一歩實施 • i本創作範圍係由後附中請專利範圍所界定。 【圖式簡單說明】 為了更詳細了解本創作之上述特徵,係參考附圖 施例對本創作作更進一步的描述。然而,需明白該些 所繪不者僅為本創作之示範性的實施例,並不能用來 本創作範圍。本創作亦包含其他等效實施例。 第1A圖係一示範性半導體基材處理設備,該設 有其 一個 的實 導流 1106 插入 在區 入件 面, 之基 各種 制該 而, 例, 與實 附圖 限制 備包 18
M314913 含一個根據本創作所作的基材基座; 第1 B -1 C圖係一基材基座實施例的部分剖面圖,該基 材基座具有多個間隙,且該等間隙位於該基材基座之一材 料層中的不同位置内; 第2圖係沿著第1 A圖之線段2-2所繪示的基材基座 剖面圖; 第3圖係本創作另一實施例的部分剖面圖; 第4圖係本創作另一實施例的部分剖面圖; 第5圖係本創作又一實施例的部分剖面圖; 第6圖係一流程圖,其顯示用來控制位於一基材基座 上基材溫度的方法實施例; 第7圖係一基座組件之底座實施例的縱向剖面圖; 第8圖係第7圖中之底座的下視圖; 第9圖係第7圖中之底座的部分剖面圖; 第10A-10H圖係一底座的下視圖,用來顯示位於該底 座内部之導管的不同配置方式; 第11圖係一基座組件之底座的另一實施例的下視 圖;以及 第1 2圖係第11圖之底座的部分剖面圖。 為了便於了解,各圖式中的相同元件係以相同的元件 符號加以標示。並且不需作更進一步說明的情況下,即可 了解如何將一實施例中的元件與特徵與其他實施例作有利 的結合。 19 M314913
【主要元件符號說明】 1 0 0 反應器 102、 104、 106 區域 1 08 通道 1 1 0 處理室 1 1 2 A、1 1 2 B 線圈 1 14底座 1 1 6 基座組件 1 1 8電漿電源 1 2 0頂板 122偏壓電源 1 2 4 匹配網路 1 2 6支撐件 1 2 8 夾盤電源 1 30 主體(壁) 1 3 2 電源 1 34導熱層 1 3 8 配氣盤 140控制器 1 4 2記憶體 1 4 4 中央處理器 1 4 6支援電路 1 4 8 氣體來源 1 5 0基材 1 6 4基層板 1 6 6 - 1 6 8插入件 1 7 0 匹配網路 1 74監控器 176 基材支撐表面 1 7 8 間隔板 1 80 夾盤支撐表面 1 8 2 流體來源 1 8 4加熱器 1 8 8靜電失盤 190 間隙 600 方法 602 -612 步驟 700底座 702 頂面 704底面 706 通道 708 蓋件 7 1 0 導管迴路 712鰭片 1 1 00底座 1102第一冷卻迴路 1104第二冷卻迴路 20 M314913 1 5 2軸環 1 5 4接合環 1 5 6 冷卻氣體來源 1 5 8加熱器 160 導管 1 6 2 架設組件 1 106第一區域 1 1 08第二區域 1 1 1 0插入件 1 1 1 2第一熱傳導流體來源 1 1 1 4第二熱傳導流體來源

Claims (1)

  1. M314913 第"號蔚年冬月修正象 十、申請專利範圍: 1. 一種基材基座組件,其包含: 一靜電夾盤,其内設有至少一夾盤電極; 一底座,其係耦接至該靜電夾盤之一底表面; 一孔洞,其延伸穿過該底座及該靜電夾盤中至少其中 一者;
    一流體導管,其係設於該靜電夾盤及談底座中至少其 中一者,其中該流體導管包含一圍繞該孔洞之區段。 2. 如申請專利範圍第1項所述之基材基座組件,更 包含: 複數個電導體,其耦接至該靜電夾盤並延伸穿過該孔 洞。 3. 如申請專利範圍第1項所述之基材基座組件,更 包含:
    至少一背側氣體溝槽,其形成於該靜電夾盤之一基材 支撐表面内並耦接至該孔洞。 4. 如申請專利範圍第1項所述之基材基座組件,其 中該孔洞更包含: 複數個提起銷孔,其中一提起銷孔穿過該底座及靜電 夾盤而形成,該等提起銷孔係排列成極狀。
    22 M314913 p:7? 5 . 如申請專利範圍第1項所述之基材基座組件,其 中該流體導管更包含: 一入口及一出口,且其中該流體導管延伸自該入口的 一部分更包含一具有一末端之迴路部分,使得自該迴路部 分之末端至該入口間所界定之導管長度,與自該出口至一 徑向地鄰近該迴路之未端間所界定之導管長度大致相等。
    6. 如申請專利範圍第1項所述之基材基座組件,其 中該底座係結合至該夾盤。 7. 如申請專利範圍第1項所述之基材基座組件,其 中該流體導管更包含: 界定於該流體導管之一入口及一出口間之中點,其中 該流體導管的一第一部分係界定於該中點及該入口之間, 且該流體導管的一第二部分係界定於該中點與該出口之 間,該第一部分係以間隔分離的方式沿著該第二部分延 伸;及 其中自該導管的中點至一第一位置間界定之第一長 度,與自該導管的中點至位於該第一位置徑向外側的第二 位置間界定之第二長度大致相等。 8. 如申請專利範圍第1項所述之基材基座組件,更 包含: 23 M314913
    一第二孔洞,穿過該底座而形成,其中該導管包括一 圍繞該第二孔洞之第二區段。 9 · 如申請專利範圍第8項所述之基材基座組件,其 中該第一孔洞更包含: 複數個提起銷孔,其中一提起銷孔穿過該底座及靜電 失盤而.形成,該等提起銷孔係排列成極狀,且其中該第二 孔洞更包含; 一背側氣體傳送孔或一電子導管。 1 0 ·如申請專利範圍第1項所述之基材基座組件,其中 該流體導管更包含: 長曲線半徑(major radius of curvature),其大致界 定該’流體導管流經該底座之一路徑;及 短曲線半徑(minor radius of curvature),其界定該 區段的一路徑,其中該長半徑大致大於該短半徑。 如申請專利範圍第1項所述之基材基座組件,更 包含: 一插入件配置於該流體導管之鄰近部分之間,且該插 入件之熱傳導係數低於該底座之熱傳導係數。 12·如申請專利範圍第1項所沭々Iz Μ 叮4之基材基座組件,更 24
    M314913 包含: 一第二流體導管,係形成於該底座中且位於該流體導 管之徑向内側。 13. —種基材基座組件,其包含: 一靜電夾盤,具有至少一夾盤電極,設於一基材支撐 表面及一底表面之間;
    一底座,其具有一耦接至該夾盤電極之底表面的頂 表面,該靜電夾盤及該底座中至少其中一者内界定有一空 間;及 一流體導管,其係設於該底座内且排列成大致與該頂 表面平行,該流體導管具有一以該底座為中心之長曲線 (major curvature)以及一以相對於該孔洞配置之短曲線 (minor curvature) 〇 14. 如申請專利範圍第1 3項所述之基材基座組件, 其中該長曲線具有一半徑,其大致大於該短曲線的一半徑。 15. 如申請專利範圍第1 4項所述之基材基座組件, 更包含: 複數個電導體,其耦接至該靜電夾盤並延伸穿過該空 間。 25
    M314913 16. 如申請專利範圍第1 5項所述之基材基座組件, 更包含: 至少一背側氣體溝槽,其形成於該靜電夾盤之一基材 支撐表面内並流體地耦接至該空間。 17. 如申請專利範圍第1 5項所述之基材基座組件, 其中該空間更包括:
    複數個提起銷孔,其中一提起銷孔穿過該底座及靜電 夾盤而形成,該等提起銷孔係排列成極狀。 18. 一種基材基座組件,其包含: 一陶瓷靜電夾盤,具有至少一夾盤電極,設於一基材 支撐表面及一底表面之間; 一底座,其耦接至該夾盤電極之底表面; 複數個提起銷孔,其延伸穿過該底座及靜電夾盤;及 一流體導管迴路,其以大致呈螺旋之配置設於該底座. 内,其中該流體導管包括一鄰近於至少一提起銷孔所界定 之區段,該區段以該提起銷孔為中心的方式配置。 19. 如申請專利範圍第1 8項所述之基材基座組件, 其中該區段具有一固定半徑。 20. 如申請專利範圍第1 8項所述之基材基座組件, < S ) 26 M314913 其中該底座係結合至該夾盤。
    27
TW095218711U 2004-10-07 2005-10-06 Substrate pedestal assembly TWM314913U (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/960,874 US7544251B2 (en) 2004-10-07 2004-10-07 Method and apparatus for controlling temperature of a substrate

Publications (1)

Publication Number Publication Date
TWM314913U true TWM314913U (en) 2007-07-01

Family

ID=36144104

Family Applications (2)

Application Number Title Priority Date Filing Date
TW095218711U TWM314913U (en) 2004-10-07 2005-10-06 Substrate pedestal assembly
TW094135006A TWI323018B (en) 2004-10-07 2005-10-06 Method and apparatus for controlling temperature of a substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW094135006A TWI323018B (en) 2004-10-07 2005-10-06 Method and apparatus for controlling temperature of a substrate

Country Status (5)

Country Link
US (3) US7544251B2 (zh)
JP (2) JP4481913B2 (zh)
KR (2) KR100815539B1 (zh)
CN (2) CN1945807B (zh)
TW (2) TWM314913U (zh)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
JP4732978B2 (ja) * 2006-08-02 2011-07-27 東京ガスケミカル株式会社 サーモチャック装置およびサーモチャック装置の製造方法
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080038448A1 (en) * 2006-08-11 2008-02-14 Lam Research Corp. Chemical resistant semiconductor processing chamber bodies
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US7777160B2 (en) * 2007-12-17 2010-08-17 Momentive Performance Materials Inc. Electrode tuning method and apparatus for a layered heater structure
KR20100103627A (ko) * 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
KR100960391B1 (ko) * 2007-12-26 2010-05-28 포항공과대학교 산학협력단 나노 디바이스의 제조 방법 및 그 제조 장치
KR20090071060A (ko) * 2007-12-27 2009-07-01 주성엔지니어링(주) 정전척 및 그를 포함하는 기판처리장치
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR100916186B1 (ko) * 2008-05-14 2009-09-08 주식회사 템네스트 온도균일화 수단이 내장되어 있는 정전척
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
JP2011523222A (ja) * 2008-06-10 2011-08-04 エーエスエムエル ネザーランズ ビー.ブイ. 光学要素を熱調整する方法およびシステム
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
KR101294129B1 (ko) * 2008-08-29 2013-08-07 비코 인스트루먼츠 인코포레이티드 가변 열 저항을 가진 웨이퍼 캐리어
JP2010062195A (ja) * 2008-09-01 2010-03-18 Hitachi High-Technologies Corp プラズマ処理装置及び試料載置電極
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
KR101691044B1 (ko) * 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
WO2010150590A1 (ja) * 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
CN102576548B (zh) * 2009-11-03 2017-03-15 应用材料公司 针对图案化磁盘媒介应用的等离子体离子注入工艺期间的基板温度控制
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
CN102782827B (zh) * 2009-12-30 2014-08-06 速力斯公司 用于薄晶片的可移动静电载具
KR101108337B1 (ko) * 2009-12-31 2012-01-25 주식회사 디엠에스 2단의 냉매 유로를 포함하는 정전척의 온도제어장치
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR101636764B1 (ko) * 2010-05-31 2016-07-06 주식회사 미코 정전척 및 이를 포함하는 기판 처리 장치
JP5618638B2 (ja) * 2010-06-07 2014-11-05 株式会社日立ハイテクノロジーズ プラズマ処理装置または試料載置台
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8822876B2 (en) * 2010-10-15 2014-09-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5982758B2 (ja) 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
JP5882614B2 (ja) * 2011-06-29 2016-03-09 株式会社日本セラテック セラミックスヒータ
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
BR112014004911A2 (pt) * 2011-08-30 2017-05-30 Watlow Electric Mfg sistema de matriz térmica
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
WO2014046840A1 (en) * 2012-09-19 2014-03-27 Applied Materials, Inc. Methods for bonding substrates
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5992388B2 (ja) * 2012-12-03 2016-09-14 日本碍子株式会社 セラミックヒーター
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
CH707480B1 (de) * 2013-01-21 2016-08-31 Besi Switzerland Ag Bondkopf mit einem heiz- und kühlbaren Saugorgan.
US20140209242A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Substrate processing chamber components incorporating anisotropic materials
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9668373B2 (en) 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
JP6196095B2 (ja) * 2013-08-07 2017-09-13 日本特殊陶業株式会社 静電チャック
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
WO2015042302A1 (en) 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
CN103762145B (zh) * 2013-12-23 2016-03-09 中国电子科技集团公司第四十八研究所 旋转盘高温靶室系统
EP3100298B1 (en) 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
KR20170002607A (ko) 2014-05-09 2017-01-06 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템 및 이를 사용하기 위한 방법
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9786539B2 (en) * 2014-07-16 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd Wafer chuck
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP6278277B2 (ja) * 2015-01-09 2018-02-14 住友大阪セメント株式会社 静電チャック装置
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10154542B2 (en) * 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10499461B2 (en) * 2015-12-21 2019-12-03 Intel Corporation Thermal head with a thermal barrier for integrated circuit die processing
JP6633931B2 (ja) * 2016-02-10 2020-01-22 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP6639940B2 (ja) * 2016-02-17 2020-02-05 日本特殊陶業株式会社 保持装置および保持装置の製造方法
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
KR102073799B1 (ko) * 2016-05-09 2020-02-05 가부시키가이샤 알박 정전 척 및 플라즈마 처리 장치
WO2017195893A1 (ja) * 2016-05-13 2017-11-16 Toto株式会社 静電チャック
JP6183567B1 (ja) 2016-05-13 2017-08-23 Toto株式会社 静電チャック
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106091470A (zh) * 2016-06-21 2016-11-09 上海工程技术大学 一种制冷设备及其制冷方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11043401B2 (en) * 2017-04-19 2021-06-22 Ngk Spark Plug Co., Ltd. Ceramic member
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP6924618B2 (ja) * 2017-05-30 2021-08-25 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
WO2018237388A1 (en) * 2017-06-23 2018-12-27 Watlow Electric Manufacturing Company HEAT PLATE BASE AT HIGH TEMPERATURE
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN109213086B (zh) * 2017-06-29 2020-10-23 台湾积体电路制造股份有限公司 制程系统与制程方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
DE112018005933B4 (de) 2017-11-21 2021-11-18 Watlow Electric Manufacturing Company Keramiksockelanordnung und Verfahren zur Bildung einer Keramiksockelanordnung
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109962030B (zh) * 2017-12-22 2022-03-29 中微半导体设备(上海)股份有限公司 一种静电吸盘
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210117338A (ko) 2019-02-12 2021-09-28 램 리써치 코포레이션 세라믹 모놀리식 바디를 갖는 정전 척
CN110289241B (zh) * 2019-07-04 2022-03-22 北京北方华创微电子装备有限公司 静电卡盘及其制作方法、工艺腔室和半导体处理设备
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP7316179B2 (ja) * 2019-10-04 2023-07-27 東京エレクトロン株式会社 基板支持台、及びプラズマ処理装置
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
KR102372810B1 (ko) * 2020-03-27 2022-03-11 주식회사 케이에스티이 정전척
KR102615216B1 (ko) * 2020-05-15 2023-12-15 세메스 주식회사 정전 척, 기판 처리 장치 및 기판 처리 방법
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
CN112144033B (zh) * 2020-09-09 2022-12-09 北京北方华创微电子装备有限公司 基座组件及半导体加工设备
CN114388323A (zh) * 2020-10-20 2022-04-22 中微半导体设备(上海)股份有限公司 一种静电夹盘及其等离子体处理装置
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备
CN117127154A (zh) * 2023-10-16 2023-11-28 粤芯半导体技术股份有限公司 一种半导体器件中的互连金属的沉积方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US6052271A (en) * 1994-01-13 2000-04-18 Rohm Co., Ltd. Ferroelectric capacitor including an iridium oxide layer in the lower electrode
US5673647A (en) 1994-10-31 1997-10-07 Micro Chemical, Inc. Cattle management method and system
JP3537544B2 (ja) 1995-06-22 2004-06-14 大日本スクリーン製造株式会社 グラビア彫刻システム
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6256187B1 (en) 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3723398B2 (ja) 2000-01-28 2005-12-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002270680A (ja) * 2001-02-28 2002-09-20 Applied Materials Inc 基板支持方法及び基板支持装置
JP4003540B2 (ja) 2001-05-30 2007-11-07 ヤマハ株式会社 基板処理方法と装置
KR20030000768A (ko) * 2001-06-27 2003-01-06 삼성전자 주식회사 새도우 링이 부착된 정전척
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
JP4218822B2 (ja) 2002-07-19 2009-02-04 東京エレクトロン株式会社 真空断熱層を有する載置機構
CN2585414Y (zh) 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
EP1635388A4 (en) * 2003-06-17 2009-10-21 Creative Tech Corp DIPOLAR ELECTROSTATIC CLAMPING DEVICE
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
GB0320469D0 (en) * 2003-09-01 2003-10-01 Nokia Corp A method of controlling connection admission
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
DE602005023977D1 (de) * 2005-07-29 2010-11-18 Eta Sa Mft Horlogere Suisse Elektronische Taucheruhr mit einer analogen redundanten Anzeige der momentanen Tiefe
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones

Also Published As

Publication number Publication date
TWI323018B (en) 2010-04-01
US20070102118A1 (en) 2007-05-10
KR101045730B1 (ko) 2011-06-30
KR20060052119A (ko) 2006-05-19
CN1945807B (zh) 2012-11-28
US8075729B2 (en) 2011-12-13
JP4481913B2 (ja) 2010-06-16
JP2006140455A (ja) 2006-06-01
US20060076108A1 (en) 2006-04-13
US20060076109A1 (en) 2006-04-13
KR20060121773A (ko) 2006-11-29
TW200616139A (en) 2006-05-16
CN1945807A (zh) 2007-04-11
KR100815539B1 (ko) 2008-03-20
US7544251B2 (en) 2009-06-09
CN1779938A (zh) 2006-05-31
JP3129419U (ja) 2007-02-22

Similar Documents

Publication Publication Date Title
TWM314913U (en) Substrate pedestal assembly
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
JP4549022B2 (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
JP6364244B2 (ja) 温度制御された基板支持アセンブリ
KR101582785B1 (ko) 정전 척 조립체
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
US8092602B2 (en) Thermally zoned substrate holder assembly
TWI481297B (zh) 控制空間溫度分布之方法及裝置
TWI385744B (zh) 適於蝕刻高深寬比特徵結構之真空處理室以及其組件
JP2009200529A (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
TW201448108A (zh) 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
JP2005051201A (ja) 熱伝達用アセンブリ
TW201448109A (zh) 具有多個獨立邊緣區域的多區域加熱之靜電吸座
KR20010030222A (ko) 엣지 증착을 방지하기 위한 방법 및 장치
WO2009086013A2 (en) Method and apparatus for controlling temperature of a substrate
TW201246444A (en) High temperature electrostatic chuck with radial thermal chokes
KR20140085568A (ko) 반도체 프로세싱에서 엣지 링의 열 관리
US20030089457A1 (en) Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
CN110050334A (zh) 具有背部气源的可旋转静电吸盘
JP2004282047A (ja) 静電チャック
US6508062B2 (en) Thermal exchanger for a wafer chuck
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치
KR20190008484A (ko) 정전 척 구조체 및 그것의 제조방법

Legal Events

Date Code Title Description
MM4K Annulment or lapse of a utility model due to non-payment of fees