KR101045730B1 - 기판의 온도를 제어하기 위한 장치 - Google Patents

기판의 온도를 제어하기 위한 장치 Download PDF

Info

Publication number
KR101045730B1
KR101045730B1 KR1020060098807A KR20060098807A KR101045730B1 KR 101045730 B1 KR101045730 B1 KR 101045730B1 KR 1020060098807 A KR1020060098807 A KR 1020060098807A KR 20060098807 A KR20060098807 A KR 20060098807A KR 101045730 B1 KR101045730 B1 KR 101045730B1
Authority
KR
South Korea
Prior art keywords
base
fluid conduit
electrostatic chuck
pedestal assembly
substrate
Prior art date
Application number
KR1020060098807A
Other languages
English (en)
Other versions
KR20060121773A (ko
Inventor
존 홀랜드
테오도로스 파나고푸로스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060121773A publication Critical patent/KR20060121773A/ko
Application granted granted Critical
Publication of KR101045730B1 publication Critical patent/KR101045730B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Jigs For Machine Tools (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

처리 동안 기판의 온도를 제어하기 위한 페디스털 어셈블리가 제공된다. 일 실시예에서, 상기 페디스털 어셈블리는 금속 베이스에 결합된 정전 척을 포함한다. 상기 정전 척은 적어도 하나의 척킹 전극을 포함하고 금속 베이스는 정전 척의 온도를 조정하기 위하여 내부에 배치된 적어도 유체 도관 루프를 포함한다. 상기 루프의 라우팅은 상기 척을 관통하여 형성된 공간을 보상하도록 배치된다.

Description

기판의 온도를 제어하기 위한 장치{APPARATUS FOR CONTROLLING TEMPERATURE OF A SUBSTRATE}
도 1a는 본 발명의 일 실시예에 따른 기판 페디스털을 포함하는 예시적인 반도체 기판 처리 장치의 개념도이다.
도 1b-1c는 기판 페디스털의 물질층에서 상이한 위치들에 형성된 갭들을 갖는 기판 페디스털의 실시예들의 부분 횡단면도들이다.
도 2는 도 1a의 라인 2-2를 따라 절개한 기판 페디스털의 개념적 횡단면도이다.
도 3은 본 발명의 다른 실시예의 개념적 부분 횡단면도이다.
도 4는 본 발명의 다른 실시예의 개념적 부분 횡단면도이다.
도 5는 본 발명의 또 다른 실시예의 개념적 부분 횡단면도이다.
도 6은 기판 페디스털 상에 배치된 기판의 온도를 제어하기 위한 방법의 일 실시예의 흐름도이다.
도 7은 페디스털 어셈블리의 베이스의 다른 실시예의 수직 단면도이다.
도 8은 도 7의 베이스의 저면도이다.
도 9는 도 7의 베이스의 부분 단면도이다.
도 10a-h는 그 내부에 형성된 도관을 경로지정하기 위한 상이한 구성들을 갖 는 베이스의 저면도들이다.
도 11은 페디스털 어셈블리의 베이스의 다른 실시예의 저면도이다.
도 12는 도 11의 베이스의 부분 단면도들이다.
※ 도면의 주요 부분에 대한 부호의 설명
100: 에칭 반응기 110: 처리 챔버
114: 베이스 116: 기판 페디스털 어셈블리
120: 실링 126: 지지 부재
160: 도관들 166, 168: 삽입물
188: 정전 척 158, 184: 히터
본 발명의 실시예들은 일반적으로 반도체 기판 처리 시스템들에 관한 것이다. 보다 구체적으로, 본 발명은 반도체 기판 처리 시스템에서 기판의 온도를 제어하기 위한 방법 및 장치에 관한 것이다.
집적회로들의 제조시에, 다양한 처리 파라미터들의 정확한 제어는 기판에서 기판으로 재현가능한 결과물들 뿐만 아니라 기판내의 일정한 결과물들을 달성하는데 요구된다. 처리 동안, 온도 변화들 및 온도 기울기들은 물질 증작, 에칭율, 스텝 커버리지, 피쳐 테이퍼 각도들, 및 반도체 소자들의 다른 파라미터들에 유해할 수 있다. 이와 같이, 기판에 대한 미리 결정된 패턴의 온도 분포 생성은 높은 수 율을 달성하기 위한 임계적 요구조건들 중 하나이다.
몇몇 처리 애플리케이션들에서, 기판은 처리 동안 정전 척에 의해 기판 페디스털에 유지된다. 정전 척은 클램프들, 접착제 또는 패스너들에 의해 패디스털의 베이스에 결합된다. 상기 척은 처리 동안 기판 온도를 제어하기 위한 후면 열 전달 가스의 소스에 유동적으로 결합될 뿐만 아니라 내장된 전기 히터가 제공될 수 있다. 그러나, 종래의 기판 페디스털들은 기판의 직경에 대해 기판 온도 분포를 제어하기 위한 충분한 수단을 갖지 않는다. 기판 온도 균일성을 제어할 수 없으면 단일 기판내에서와 기판들 사이의 처리 균일성, 소자 수율 및 처리 기판들의 전체 품질에 악영향을 미친다.
따라서, 반도체 기판 처리 장치에서 기판을 처리하는 동안 기판의 온도를 제어하기 위한 개선된 방법 및 장치가 종래기술에서 요구된다.
본 발명은 일반적으로 반도체 기판 처리 장치에서 기판을 처리하는 동안 기판의 온도를 제어하기 위한 방법 및 장치이다. 상기 방법 및 장치는 기판의 직경에 대해 온도 제어를 향상시키고, 공작물의 온도 프로파일 제어가 요구될 수 있는 다른 애플리케이션들 중에서 에칭, 증착, 주입, 및 열 처리 시스템들에 사용될 수 있다.
본 발명의 일 실시예에서, 상기 정전 척의 바닥면에 결합된 금속 베이스를 포함하는 기판 페디스털 어셈블리가 제공된다. 개구가 베이스를 관통하여 연장한다. 유체 도관이 상기 베이스 또는 정전 척 중 적어도 하나에 배치되며, 상기 유체 도관은 상기 개구의 둘레를 감싸는 세그먼트를 포함한다.
다른 실시예에서, 기판 지지면과 바닥면 사이에 배치된 적어도 하나의 척을 갖는 정전 척, 상기 정전 척의 바닥면(bottom surface)에 결합된 최상부면(top surface)을 갖는 금속 베이스 - 상기 정전 척 또는 상기 베이스 중 적어도 하나는 그 내부에 형성된 공간을 가짐 -을 포함하는 기판 페디스털 어셈블리가 제공된다. 유체 도관이 상기 베이스 내에 배치되고 상기 최상부면에 실질적으로 평행하게 배치된다. 상기 유체 도관은 상기 베이스의 중심 주위에 배향된 메이저 만곡과 상기 개구 주위에 배향된 마이너 만곡을 가진다.
본 발명의 다른 실시예에서, 금속 베이스에 결합된 정전 척을 포함하는 기판 페디스털 어셈블리가 제공된다. 정전 척은 적어도 하나의 척킹(chucking) 전극을 포함하고 금속 베이스는 그 내부에 배치된 유동적으로 절연된 적어도 2개의 도관 루프들을 포함한다.
다른 실시예에서, 페디스털 어셈블리는 물질층에 의해 베이스에 결합된 지지 부재를 포함한다. 상기 물질층은 상이한 열 전도율 계수들을 갖는 적어도 2개의 영역들을 갖는다. 다른 실시예에서, 상기 기판 페디스털 어셈블리는 정전 척을 포함한다. 추가적인 실시예들에서, 페디스털 어셈블리는 지지 부재와 베이스 사이의 열 전달을 추가적으로 제어하도록 물질층에 인접한 냉각 가스를 제공하기 위해 베이스와 지지 부재 사이에 형성된 채널들을 가짐으로써, 지지 부재 상에 배치된 기판의 온도 프로파일을 제어할 수 있다.
상기 페디스털 어셈블리는 물질층을 이용하여 베이스에 결합된 지지 부재를 포함한다. 상기 물질층은 상이한 열 전도율 계수들을 갖는 적어도 2개의 영역들을 갖는다. 다른 실시예에서, 상기 지지 부재는 정전 척이다. 추가적인 실시예들에서, 페디스털 어셈블리는 상기 지지 부재와 베이스 사이의 열 전달을 추가로 제어하도록 상기 물질층에 인접한 냉각 가스를 제공하기 위해 베이스와 지지 부재 사이에 형성된 채널들을 가짐으로써, 상기 지지 부재 상에 배치된 기판의 온도 프로파일 제어를 용이하게 할 수 있다.
상기 인용된 본 발명의 특징들을 상세히 이해할 수 있도록 하는 방식으로, 첨부된 도면들에 도시된 몇몇 실시예들을 참조로 상기에서 간단히 요약된 본 발명이 보다 상세히 기술된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시한 것이므로 그 범주를 제한해서는 안되며 본 발명에 대한 다른 동일한 효과적인 실시예들이 허용될 수 있음을 주의해야 한다.
이해를 돕기 위해 가능한 도면들에 공통인 동일한 엘리먼트들을 지칭하도록 동일한 참조부호들이 사용된다. 또한 일 실시예의 엘리먼트들과 특징들은 추가적인 설명 없이 다른 실시예들 상에 포함될 수 있다.
본 발명은 일반적으로 처리 동안 기판의 온도를 제어하기 위한 방법 및 장치이다. 본 발명이 캘리포니아 산타 클레라의 어플라이드 머티어리얼스사에서 이용가능한 CENTURA® 집적 반도체 웨이퍼 처리 시스템의 처리 반응기(또는 모듈)와 같은 반도체 기판 처리 장치에 도시적으로 기술되지만, 본 발명은 에칭, 증착, 주입 및 열 처리, 또는 기판 또는 다른 공작물의 온도 프로파일의 제어가 요구될 수 있는 다른 애플리케이션을 포함하는 다른 처리 시스템들에 사용될 수 있다.
도 1은 본 발명을 실시하는데 예시적으로 사용될 수 있는 기판 페디스털 어셈블리(116)의 일 실시예를 갖는 예시적인 에칭 반응기(100)의 개념도를 도시한다. 본 발명에 도시된 에칭 반응기(100)의 특정 실시예는 예시적인 목적들을 위해 제공되며 본 발명의 범주를 제한하는데 사용되어서는 안된다.
에칭 반응기(100)는 일반적으로 처리 챔버(110), 가스 패널(138) 및 컨트롤러(140)를 포함한다. 처리 챔버(110)는 처리 부피를 둘러싸는 전도성 몸체(벽)(130) 및 실링(120)을 포함한다. 처리 가스들은 가스 패널(138)로부터 챔버(110)의 처리 부피에 제공된다.
컨트롤러(140)는 중앙 처리 유닛(CPU)(144), 메모리(142), 및 지원 회로들(146)을 포함한다. 컨트롤러(140)는 에칭 반응기(100)에 결합되어 그 컴포넌트들, 챔버(110)에서 수행되는 처리들을 제어하고, 집적 회로 설비의 데이터베이스들과 선택적인 데이터 교환을 용이하게 할 수 있다.
도시된 실시예에서, 실링(120)은 실질적으로 평탄한 유전체 부재이다. 처리 챔버(110)의 다른 실시예들은 예를 들어, 돔 형상의 실링과 같은 다른 형태들의 실링들을 가질 수 있다. 실링(120) 상부에는 하나 이상의 유도성 코일 엘리먼트들(2개의 동축 코일 엘리먼트들(112A, 112B)이 예시적으로 도시됨)을 포함하는 안테나(112)가 배치된다. 안테나(112)는 제 1 매칭(matching: 정합) 네트워크(170)를 통해 무선 주파수(RF) 플라즈마 전원(118)에 결합된다.
일 실시예에서, 기판 페디스털 어셈블리(116)는 지지 부재(126), 열전도성 층(134), 베이스(114), 칼라(collar) 링(152), 접합 링(154), 스페이서(178), 접지 슬리브(164), 및 장착 어셈블리(162)를 포함한다. 장착 어셈블리(162)는 베이스(114)를 처리 챔버(110)에 결합시킨다. 베이스(114)는 일반적으로 알루미늄 또는 다른 금속 물질로 형성된다. 다른 도시된 실시예에서, 베이스(114)는 적어도 하나의 선택적 내장 히터(158)(하나의 히터(158)가 예시적으로 도시됨), 적어도 하나의 선택적 내장 삽입물(insert)(168)(하나의 환형 삽입물(168)이 예시적으로 도시됨), 및 가열 또는 냉각수의 소스(182)에 유동적으로 결합된 다수의 선택적 도관들(160)을 더 포함한다. 이러한 실시예에서, 베이스(114)는 선택적 스페이서(178)를 이용하여 추가로 접지 슬리브(164)로부터 열적으로 분리된다.
도관들(160) 및 히터(158)는 베이스(114)의 온도를 제어하는데 사용될 수 있기 때문에, 지지 부재(126)를 가열 또는 냉각시킴으로써, 처리 동안 지지 부재(126) 상에 배치된 기판(150)의 온도를 부분적으로 제어할 수 있다.
삽입물(168)은 베이스(114)의 인접 영역들의 물질과 상이한 열 전도율 계수를 갖는 물질로 형성된다. 전형적으로, 삽입물들(168)은 베이스(114) 보다 더 작은 열 전도율 계수를 갖는다. 다른 실시예에서, 삽입물들(168)은 비등방성(즉, 방향 의존적인 열 전도율 계수)을 가진 물질로 형성될 수 있다. 삽입물(168)은 열 전달 경로에서 삽입물(168)을 갖지 않는 베이스(114)의 인접 부분들을 통한 열 전달율에 대하여 베이스(114)를 통한 지지 부재(126)와 도관들(160) 사이의 열 전달율을 국부적으로 변화시키는 기능을 한다. 따라서, 삽입물들의 개수, 형상, 크기, 위치 및 열 전달 계수를 제어함으로써, 지지 부재(126) 및 그 상부에 안착된 기판(150)의 온도 프로파일이 제어될 수 있다. 삽입물(168)은 환형 링으로서 형상화 되어 도 1에 도시되지만, 삽입물(168)의 형상은 임의의 형태들일 수 있다.
열전도성 층(134)은 베이스(114)의 척 지지 표면(180)상에 배치되어 지지 부재(126)와 베이스(114) 사이의 열적 커플링(즉, 열 교환)을 용이하게 한다. 하나의 예시적인 실시예에서, 열전도성 층(134)은 지지 부재(126)를 부재 지지 표면(180)에 기계적으로 결합시키는 접착층이다. 선택적으로(미도시), 기판 페디스털 어셈블리(116)는 지지 부재(126)를 베이스(114)에 결합시키기 위해 제공되는 하드웨어(예, 클램프, 스크류들 등)를 포함할 수 있다. 지지 부재(126) 및 베이스(114)의 온도는 열전쌍들 등과 같이 온도 모니터(174)에 결합되는 다수의 센서들(미도시)를 이용하여 모니터링된다.
지지 부재(126)는 베이스(114)상에 배치되고 링들(152, 154)에 의해 둘러싸인다. 지지 부재(126)는 알루미늄, 세라믹 또는 처리 동안 기판(150)을 지지하는데 적합한 다른 물질들로 제조될 수 있다. 일 실시예에서, 지지 부재(126)는 세라믹이다. 기판(150)은 중력에 의해 지지 부재(126)상에 놓일 수 있거나, 선택적으로 진공, 정전력, 기계적 클램프들 등에 의해 지지 부재(126)에 고정될 수 있다. 도 1에 도시된 실시예에서, 지지 부재(126)는 정전척(188)이다.
정전 척(188)은 일반적으로 세라믹 또는 유사한 유전체 물질로 형성되고 전력 공급기(128)를 이용하여 제어되는 적어도 하나의 클램핑 전극(186)를 포함한다. 추가적인 실시예에서, 정전 척(188)은 제 2 매칭(정합) 네트워크(124)를 통해 기판 바이어스의 전원(122)에 결합되는 적어도 하나의 RF 전극(미도시)을 포함할 수 있으며, 전력 공급기(132)를 이용하여 제어되는 적어도 하나의 내장 히터(184)를 포 함할 수도 있다.
정전 척(188)은 척의 기판 지지 표면(176)에 형성되어 열 전달(또는 후면) 가스의 소스(148)에 유동적으로 결합되는 그루브들과 같은 다수의 가스 통로들(미도시)을 더 포함할 수 있다. 동작시, 후면 가스(예, 헬륨(He))는 정전 척(188)과 기판(150) 사이의 열 전달을 향상시키기 위해 제어되는 압력에서 상기 가스 통로들로 제공된다. 통상적으로, 상기 정전 척의 적어도 기판 지지 표면(176)에는 기판들의 처리 동안 사용되는 화학제들과 온도들에 내성(resistant)인 코팅이 제공된다.
일 실시예에서, 지지 부재(126)는 지지 부재(126)의 인접 영역들의 물질(들)과 상이한 열 전도율 계수를 갖는 적어도 하나의 물질로 형성된 적어도 하나의 내장 삽입물(166)(하나의 환형 삽입물(166)이 예시적으로 도시됨)을 포함한다. 전형적으로, 삽입물들(166)은 인접 영역들의 물질(들) 보다 더 작은 열 전도율 계수를 갖는 물질들로 형성된다. 추가적인 실시예에서, 삽입물들(166)은 비등방성 열 전도율 계수를 갖는 물질들로 형성될 수 있다. 선택적 실시예(미도시)에서, 적어도 하나의 삽입물(166)은 기판 지지 표면(176)과 동일 평면에 배치될 수 있다.
베이스(114)의 삽입물들(168), 열 전도율, 및 지지 부재(126)에서 삽입물들(166)의 형상, 치수, 위치 및 개수는 동작시 지지 부재(126)의 기판 지지 표면(176)상에 및 기판(150)의 직경에 대해 미리 결정된 패턴의 온도 분포를 달성하도록 페디스털 어셈블리(116)를 통한 열 전달을 제어하기 위해 선택될 수 있다.
열전도성 층(134)은 다수의 물질 영역들(2개의 환형 영역들(102, 104) 및 원 형 영역(106)이 예시적으로 도시됨)을 포함하고, 이들 중 적어도 2개는 상이한 열 전도율 계수들을 갖는다. 각각의 영역(102, 104, 108)은 열전도성 층(134)의 인접 영역들의 물질(들)과 상이한 열 전도율 계수를 갖는 적어도 하나의 물질로 형성될 수 있다. 추가적인 실시예에서, 영역들(102, 104, 106)을 포함하는 하나 이상의 물질들은 비등방성 열 전도율 계수를 가질 수 있다. 예를 들어, 부재 지지 표면(180)에 직교하거나 평행한 방향들에서 층(134)의 열 전도율 계수들은 적어도 하나의 다른 방향에서의 계수들과 상이할 수 있다. 층(134)의 영역들(102, 104, 1060 사이의 열 전도율 계수는 척(126)과 베이스(114) 사이의 상이한 열 전달율들을 가로방향으로 촉진시키는데 사용될 수 있기 때문에, 기판(150)의 직경에 대한 온도 분포를 제어할 수 있다.
또 다른 실시예에서, 갭들(190)(도 2a에 도시된 바와 같이)은 열전도성 층(134)의 적어도 2개의 인접 영역들 사이에 제공될 수 있다. 층(134)에서, 상기 갭들(190)은 미리 결정된 형태 인자(form factor)들을 갖는 가스 충진되거나 진공상태인 부피들을 형성할 수 있다. 갭(190)은 층(134)의 영역내에서 선택적으로 형성될 수 있다(도 1c에 도시된 바와 같이).
도 2는 도 1a의 라인 2-2를 따라 절개한 기판 페디스털의 개념적 횡단면도를 도시한다. 도시된 실시예에서, 열전도성 층(134)은 환형 영역들(102, 104) 및 원형 영역(106)을 예시적으로 포함한다. 선택적 실시예들에서, 층(134)은 3개 보다 많거나 적은 영역들 및 상이한 형태 인자들을 갖는 영역들을 포함할 수 있으며, 상기 영역들은 다른 것들 중에서 그리드들, 반경으로 배향되는 형상들, 및 극(polar) 어레이들로서 배치될 수 있다. 열전도성 층(134)의 영역들은 하드 접착제 화합물로 추가로 전개되는 페이스트(paste) 형태, 및 접착제 테이프 또는 업착제 포일의 형태로 인가되는 물질들(예, 접착성 물질들)로 이루어질 수 있다. 열전도성 층(134)의 물질들의 열 전도율은 0.01 내지 200W/mK 범위에서 선택될 수 있으며, 예시적인 일 실시예로서 0.1 내지 10W/mK 범위에서 선택될 수 있다. 또 다른 실시예에서, 인접 영역들은 약 0.1 내지 10W/mK 범위의 상이한 열 전도율들을 가지며, 층(134)의 최내부 및 최외부 영역들 사이에서 약 0.1 내지 약 10W/mK의 상이한 전도율을 가질 수 있다. 적절한 접착 물질들의 예들은 이에 제한됨이 없이 아크릴 및 실리콘 기질의 화합물들을 포함하는 페이스트 및 테이프들을 포함한다. 상기 접착 물질들은 예를 들어, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 및 티타늄 디보라이드(TiB2) 등과 같은 적어도 하나의 열 전도성 세라믹 충진재를 부가적으로 포함할 수 있다. 전도성 층(134)에 적합한 접착제 테이프의 일 예는 메사추세츠 울번에 위치한 Parker Hannifin Corporation의 계열사인 Chomerics에서 이용가능한 상표명 THERMATTACH®로 판매중이다.
열전도성 층(134)에서, 열 전도율, 및 미리 결정된 열 전도율 계수들을 갖는 영역들의 형태 인자, 치수들과 개수는 동작시 척의 기판 지지 표면(176) 및 기판(150)에서 미리 결정된 패턴의 온도 분포를 달성하기 위해 정전 척(126)과 베이스(114) 사이의 열 전달을 제어하도록 선택될 수 있다. 전도성 층(134)을 통한 베이스(114)와 지지 부재(126) 사이의 열 전달을 추가로 제어하기 위해, 하나 이상의 채널들(108)은 열 전달 매체를 관통하도록 제공된다. 채널들(108)은 베이스(114)를 통해 냉각 가스와 같은 열 전달 매체의 소스(150)에 결합된다. 적절한 냉각 가스들의 몇몇 예들은 다른 것들 중에서 헬륨 및 질소를 포함한다. 채널들(108)에 배치된 냉각 가스가 척(126)과 베이스(114) 사이의 열 전달 경로의 일부이기 때문에, 채널들(108)의 위치, 제공되는 냉각 가스의 열 전달 매체의 압력, 유속, 온도, 밀도 및 조성은 페디스털 어셈블리(116)를 통한 열 전달 프로파일의 향상된 제어를 제공한다. 더욱이, 채널(108)에서 밀도와 유속은 기판(150)의 처리 동안 인-시튜(in-situ)로 제어될 수 있기 때문에, 처리 성능을 추가로 향상시키기 위해 처리 동안 기판(150)의 온도 제어가 변화될 수 있다. 냉각 가스의 단일 소스(156)가 도시되지만, 개별 채널들(108)내에서 냉각 가스들의 타입들, 압력들 및/또는 유속이 독립적으로 제어될 수 있는 방식으로 하나 이상의 냉각 가스 소스들이 채널들(108)에 결합될 수 있기 때문에, 더 큰 레벨의 온도 제어를 용이하게 할 수 있다.
도 1a에 도시된 실시예에서, 채널들(108)은 부재 지지 표면(180)에 형성되는 것으로 도시된다. 그러나, 채널들(108)은 이들의 조합들에 따라, 부재 지지 표면(180), 지지 부재(126)의 바닥면, 또는 열전도성 층(134)에 적어도 부분적으로 형성될 수 있다는 것을 고려한다. 일 실시예에서, 약 2개 내지 10개 채널들(108)이 페디스털 어셈블리(116)에 배치되고 약 760 Torr(대기압) 내지 약 10 Torr의 압력에서 유지되는 선택도가 제공된다. 예를 들어, 채널들(108) 중 적어도 하나는 도 3-4에 도시된 것처럼, 정전 척(126)에 부분적으로 또는 전체적으로 형성될 수 있다. 보다 구체적으로, 도 3은 기판 페디스털 어셈블리(116)의 일부분의 개념도를 도시하며, 여기서 채널들(108)은 정전 척(126)에 전체적으로 형성된다. 도 4는 기판 페디스털 어셈블리(116)의 일부분의 개념도를 도시하며, 여기서 채널들(108)은 베이스(114) 및 정전 척(126)에 부분적으로 형성된다. 도 5는 기판 페디스털 어셈블리(116)의 일부분의 개념도를 도시하며, 여기서 채널들(108)은 열전도성 층(134)에 형성된다. 도 5에서 상기 채널들은 열전도성 층(134)의 상이한 영역들(102, 104, 106) 사이에 배치되는 것으로 도시되지만, 하나 이상의 채널들이 하나 이상의 영역들(102, 104, 106)을 통해 형성될 수 있다.
도 1a로 돌아가면, 채널들(108)과 삽입물들(166, 168)의 위치, 형상, 치수들과 개수 중 적어도 하나, 및 삽입물들(166, 168)의 열 전도율과 채널들(108)에 배치된 가스는 동작시, 척(126)의 기판 지지 표면(176)상에서 미리 결정된 패턴의 온도 분포 및 기판(150)의 온도 프로파일 제어를 달성하기 위해 지지 부재(126)와 베이스(114) 사이의 열 전달을 제어하도록 선택될 수 있다. 추가적인 실시예들에서, 적어도 하나의 채널(108)에서 냉각 가스의 압력, 및 적어도 하나의 도관(156)에서 냉각수의 흐름은 기판의 온도 제어를 달성 및/또는 향상시키도록 선택적으로 제어될 수도 있다. 상기 열 전달율은 각각의 채널들(108) 사이의 가스 타입, 압력 및/또는 유속을 개별적으로 제어함으로써 제어될 수도 있다.
다른 추가적인 실시예들에서, 기판(150)에서 미리 결정된 패턴의 온도 분포는 예를 들어, 열전도성 층(134), 삽입물들(166, 168), 채널들(108), 도관들(160), 채널들(108)에서의 냉각 가스의 압력, 및 도관들(160)에서의 냉각수의 흐름과 같은 기술된 개별적 제어 수단 또는 그 조합들을 이용하여 달성될 수 있다. 또한, 기술 된 상기 실시예들에서, 기판 지지 표면(176)상 및 기판(150)에서 미리 결정된 패턴들의 온도 분포는 부가적으로 처리 가스의 플라즈마 및/또는 기판 바이어스에 의해 기판(150)을 처리하는 동안 배향되는 열 플럭스들의 불균일성을 보상하도록 선택적으로 제어될 수 있다.
도 6은 프로세스(600)으로서 반도체 기판 처리 장치에서 처리되는 기판의 온도를 제어하기 위한 진보적 방법의 일 실시예의 흐름도를 도시한다. 프로세스(600)는 상기 실시예들에서 기술된 반응기(100)에서 처리 동안 기판(150)상에 수행되는 처리 단계들을 예시적으로 포함한다. 프로세스(600)는 다른 처리 시스템들에서 수행될 수 있다는 것을 고려한다.
프로세스(600)는 단계 601에서 시작하여 단계 602로 진행한다. 단계 602에서, 기판(150)은 처리 챔버(110)에 배치된 페디스털 어셈블리(116)로 전달된다. 단계 604에서, 기판(150)은 정전 척(188)의 기판 지지 표면(176)상에 위치된다(예, 기판 로봇(미도시)을 이용). 단계 606에서, 전력 공급기(132)는 정전 척(188)과 결합되어 척(188)의 지지 표면(176)에 기판(150)을 클램핑시킨다. 단계 608에서, 기판(150)은 컨트롤러(140)에 의해 유도되는 바와 같이 수행되는 처리 수단에 따라 처리 챔버(110)에서 처리된다(예, 에칭된다). 단계 608 동안, 기판 페디스털 어셈블리(116)는 상기 도 1-5를 참조로 기술된 페디스털 어셈블리(1160의 하나 이상의 온도 제어 특성들을 이용하여 기판(150)에서 미리 결정된 패턴의 온도 분포를 용이하게 한다. 선택적으로, 단계 608 동안 척(114)을 통해 전달되는 열의 속도 및/또는 프로파일은 하나 이상의 채널들(108)에 존재하는 가스의 하나 이상의 특성들을 변경함으로써 인-시튜로 조절될 수 있다. 처리 완료시, 단계 610에서, 전력 공급기(132)는 정전 척(188)과 결합해제되고, 처리 챔버(110)로부터 추가로 제거되는 기판(150)을 디-척킹한다. 단계 612에서, 프로세스(600)는 종료된다.
도 7-9는 베이스(700)의 일 실시예의 수직 단면도, 저면도 및 부분 단면도이다. 베이스(700)는 본 발명에 기술된 임의의 기판 페디스털 어셈블리들에 바람직하도록 사용될 수 있다는 것을 고려한다. 도 7-9에 도시된 실시예에서, 베이스(700)는 최상부면(702)과 바닥면(704)을 포함한다. 채널(706)은 베이스(700)의 바닥면(704)에 형성된다. 채널(706)은 유체 도관(710)을 형성하도록 캡(708)에 의해 커버된다. 도관(710)은 도 1에 도시된 바와 같은 열 전달 유체 제어 소스(182)에 설치, 용이한 부착을 허용하도록 구성되는 입구(714) 및 출구(716)를 포함한다.
도 7-9에 도시된 실시예에서, 채널(706)은 베이스(700)의 바닥면(704)으로 기계가공된다. 기계가공 동작은 채널(706)에 의해 규정된 영역으로 연장하도록 하나 이상의 핀들(712)을 남겨두는 방식으로 수행된다. 핀(712)은 열 전달에 이용가능한 도관(710)의 표면적을 증가시키기 때문에, 도관(710)과 베이스(700)에서 흐르는 유체 사이의 열 전달을 향상시킬 수 있다.
캡(708)은 채널(706)에 배치되고 도관(710)을 규정하도록 베이스(700)에 결합된다. 도 7-9에 도시된 실시예에서, 캡(708)은 진공 조건들하에서 도관(710)에 흐르는 유체의 누출을 방지하기 위해 베이스(700)에 연속적으로 용접된다. 캡(708)은 다른 기밀 방법들을 이용하여 베이스(700)에 밀봉 결합될 수 있다는 것을 고려한다.
도 10a-10h는 도관(710)의 경로설정(routing)을 위한 상이한 구성들을 갖는 베이스(700)의 저면도들을 도시한다. 도시된 바와 같이, 도관(710)은 지지 어셈블리의 미리 결정된 온도 프로파일을 제공하도록 경로설정될 수 있기 때문에, 그 상부에 지지되는 기판의 온도 프로파일을 제어할 수 있다.
도 11-12는 본 발명에서 기술된 기판 페디스털 어셈블리들에 사용될 수 있는 베이스(1100)의 다른 실시예의 저면도 및 부분 단면도를 도시한다. 도 11-12에 도시된 베이스(1100)는 독립적으로 제어될 수 있는 적어도 2개의 온도 영역들(1106, 1108)을 규정하도록 베이스(1100)에 형성된 적어도 2개의 개별 냉각 루프들(1102, 1104)을 포함한다. 냉각 루프들(1102, 1104)은 일반적으로 상술한 바와 같이 형성되거나 다른 적절한 방법으로 형성되는 도관들이다. 일 실시예에서, 제 1 냉각 루프(1102)는 온도 제어 영역들(1106, 1108)이 집중되도록 제 2 냉각 루프(1104)의 외부로 방사형으로 배치된다. 루프들(1102, 1104)은 방사형으로 배향되거나, 다른 기하학적 구성들을 가질 수 있다는 것을 고려한다. 냉각 루프들(1102, 1104)은 온도 제어되는 열 전달 유체의 단일 소스에 결합될 수 있거나, 도 11에 도시된 실시예에서처럼, 영역들(1106, 1108)에서의 온도가 독립적으로 제어될 수 있도록 각각의 루프(1102, 1104)는 개별 열 전달 유체 소스(1112, 1114)에 각각 결합될 수 있다. 선택적으로, 영역들(1106, 1108) 사이의 열적 분리를 향상시키기 위해 상술한 삽입물(168)과 유사한 삽입물(1110)이 제 1 및 제 2 냉각 루프 삽입물(168) 사이에 측방향으로 배치된다. 삽입물(1110)은 도 11에 도시된 바와 같이 베이스(1100)의 하부 표면으로 연장될 수 있거나, 도 12에 도시된 바와 같이 베이스(1100)에 내장 될 수 있다.
따라서, 그 상부에 지지되는 기판의 플렉서블한 온도 제어를 할 수 있는 기판 지지 페디스털 어셈블리가 제공된다. 상기 기판 지지 페디스털 어셈블리에 대한 상이한 특징들이 다수 영역들의 온도 제어를 제공하도록 선택될 수 있기 때문에, 기판의 온도 프로파일이 제어될 수 있다.
전술한 상세한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.
본 발명에 의하면, 반도체 기판 처리 장치에서 기판을 처리하는 동안 기판의 온도를 제어하기 위한 개선된 방법 및 장치를 제공할 수 있다.

Claims (20)

  1. 기판 페디스털(pedestal) 어셈블리로서,
    내부에 적어도 하나의 척킹(chucking) 전극이 배치된 정전 척;
    상기 정전 척의 바닥면에 결합된 금속 베이스;
    상기 베이스 또는 상기 정전 척 중 적어도 하나를 통하여 연장하는 개구(aperture); 및
    상기 정전 척 및 상기 베이스 중 적어도 하나에 배치된 유체 도관 ― 상기 유체 도관은 입구 및 출구를 포함하고, 상기 입구로부터 연장하는 상기 유체 도관의 일부분은 단부를 갖는 루프 부분을 추가적으로 포함하여, 상기 루프 부분의 상기 단부로부터 상기 입구까지 한정된(defined) 도관 길이가 상기 출구로부터 상기 루프 부분의 상기 단부에 방사상으로(radially) 인접한 위치까지 한정된 도관 길이와 실질적으로 동일하며, 상기 유체 도관은 상기 개구의 둘레를 감싸는 세그먼트를 포함함 ―
    을 포함하는 기판 페디스털 어셈블리.
  2. 제 1 항에 있어서,
    상기 정전 척에 결합되며 상기 개구를 통하여 연장하는 전기 도체들을 더 포함하는,
    기판 페디스털 어셈블리.
  3. 제 1 항에 있어서,
    상기 정전 척의 기판 지지면 내에 형성되며 상기 개구에 결합된 적어도 하나의 후면 가스 채널을 더 포함하는,
    기판 페디스털 어셈블리.
  4. 제 1 항에 있어서,
    상기 개구는 상기 베이스와 정전 척을 통하여 형성된 다수의 리프트 핀 홀들 중 하나를 더 포함하고, 상기 리프트 핀 홀들은 극선 어레이(polar array)로 배치되는,
    기판 페디스털 어셈블리.
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 유체 도관은,
    상기 유체 도관의 상기 입구와 상기 출구 사이에 한정된 중간점 ― 상기 유체 도관의 제 1 부분은 상기 중간점과 상기 출구 사이에 한정되고, 상기 유체 도관의 제 2 부분은 상기 중간점과 상기 출구 사이에 한정되며, 상기 제 1 부분은 상기 유체 도관의 상기 제 2 부분을 이격된 관계로 추적함 ―
    을 더 포함하며, 상기 도관의 상기 중간점으로부터 제 1 위치까지 한정된 제 1 길이는 상기 도관의 상기 중간점으로부터 상기 제 1 위치의 방사상으로 외향하는 제 2 위치까지 한정된 제 2 길이와 실질적으로 동일한,
    기판 페디스털 어셈블리.
  8. 삭제
  9. 삭제
  10. 제 1 항에 있어서,
    상기 유체 도관은,
    상기 베이스를 통하는 상기 유체 도관의 경로를 실질적으로 한정하는 메이저 반경(major radius)의 만곡(curvature); 및
    상기 세그먼트의 경로를 한정하는 마이너 반경(minor radius)의 만곡
    을 더 포함하고, 상기 메이저 반경은 상기 마이너 반경보다 실질적으로 더 큰,
    기판 페디스털 어셈블리.
  11. 제 1 항에 있어서,
    상기 유체 도관의 인접 부분들 사이에 배치되며 상기 베이스의 열 전도율 계수보다 작은 열 전도율 계수를 가지는 삽입물(insert)을 더 포함하는,
    기판 페디스털 어셈블리.
  12. 제 1 항에 있어서,
    상기 유체 도관의 방사상으로 내향하는 상기 베이스에 형성된 제 2 유체 도관을 더 포함하는,
    기판 페디스털 어셈블리.
  13. 기판 페디스털 어셈블리로서,
    기판 지지면과 바닥면 사이에 배치된 적어도 하나의 척킹 전극을 갖는 정전 척;
    상기 정전 척의 상기 바닥면에 결합된 최상부면을 갖는 금속 베이스 ― 상기 정전 척 또는 상기 베이스 중 적어도 하나는 그 내부에 한정된 개구를 가짐 ―; 및
    상기 베이스 내에 배치되고 상기 최상부면에 실질적으로 평행하게 배열되는 유체 도관 ― 상기 유체 도관은 입구 및 출구를 포함하고, 상기 입구로부터 연장하는 상기 유체 도관의 일부분은 단부를 갖는 루프 부분을 추가적으로 포함하여, 상기 루프 부분의 상기 단부로부터 상기 입구까지 한정된 도관 길이가 상기 출구로부터 상기 루프 부분의 상기 단부에 방사상으로 인접한 위치까지 한정된 도관 길이와 실질적으로 동일하며, 상기 유체 도관은 상기 베이스의 중심 주위에 배향된 메이저 만곡과 상기 개구 주위에 배향된 마이너 만곡을 가짐 ―
    을 포함하는 기판 페디스털 어셈블리.
  14. 제 13 항에 있어서,
    상기 메이저 만곡은 상기 마이너 만곡의 반경보다 실질적으로 더 큰 반경을 갖는,
    기판 페디스털 어셈블리.
  15. 제 14 항에 있어서,
    상기 정전 척에 결합되며 상기 개구를 통하여 연장하는 전기 도체들을 더 포함하는,
    기판 페디스털 어셈블리.
  16. 삭제
  17. 제 15 항에 있어서,
    상기 개구는,
    상기 베이스와 정전 척을 통하여 형성된 다수의 리프트 핀 홀들 중 하나
    를 더 포함하고, 상기 리프트 핀 홀들은 극선 어레이로 배열되는,
    기판 페디스털 어셈블리.
  18. 기판 페디스털 어셈블리로서,
    기판 지지면과 바닥면 사이에 배치된 적어도 하나의 척킹 전극을 갖는 세라믹 정전 척;
    상기 정전 척의 상기 바닥면에 결합된 금속 베이스;
    상기 정전 척 및 상기 베이스를 통하여 연장하는 다수의 리프트 핀 홀들;
    대체로 나선형 배향으로 상기 베이스에 배치된 유체 도관 루프 ― 상기 유체 도관 루프는 입구 및 출구를 포함하고, 상기 입구로부터 연장하는 상기 유체 도관의 일부분은 단부를 갖는 루프 부분을 추가적으로 포함하여, 상기 루프 부분의 상기 단부로부터 상기 입구까지 한정된 도관 길이가 상기 출구로부터 상기 루프 부분의 상기 단부에 방사상으로 인접한 위치까지 한정된 도관 길이와 실질적으로 동일하며, 상기 리프트 핀 홀 둘레에 집중된 배향을 갖는 상기 리프트 핀 홀들 중 적어도 하나에 인접하게 한정된 세그먼트를 포함함 ―
    를 포함하는 기판 페디스털 어셈블리.
  19. 제 18 항에 있어서,
    상기 세그먼트는 일정한 반경을 갖는,
    기판 페디스털 어셈블리.
  20. 삭제
KR1020060098807A 2004-10-07 2006-10-11 기판의 온도를 제어하기 위한 장치 KR101045730B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/960,874 US7544251B2 (en) 2004-10-07 2004-10-07 Method and apparatus for controlling temperature of a substrate
US10/960,874 2004-10-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020050094425A Division KR100815539B1 (ko) 2004-10-07 2005-10-07 기판의 온도를 제어하기 위한 방법 및 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR2020060027725U Division KR20070000225U (ko) 2004-10-07 2006-10-11 기판의 온도를 제어하기 위한 장치

Publications (2)

Publication Number Publication Date
KR20060121773A KR20060121773A (ko) 2006-11-29
KR101045730B1 true KR101045730B1 (ko) 2011-06-30

Family

ID=36144104

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020050094425A KR100815539B1 (ko) 2004-10-07 2005-10-07 기판의 온도를 제어하기 위한 방법 및 장치
KR1020060098807A KR101045730B1 (ko) 2004-10-07 2006-10-11 기판의 온도를 제어하기 위한 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020050094425A KR100815539B1 (ko) 2004-10-07 2005-10-07 기판의 온도를 제어하기 위한 방법 및 장치

Country Status (5)

Country Link
US (3) US7544251B2 (ko)
JP (2) JP4481913B2 (ko)
KR (2) KR100815539B1 (ko)
CN (2) CN1779938A (ko)
TW (2) TWM314913U (ko)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP4732978B2 (ja) * 2006-08-02 2011-07-27 東京ガスケミカル株式会社 サーモチャック装置およびサーモチャック装置の製造方法
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080038448A1 (en) * 2006-08-11 2008-02-14 Lam Research Corp. Chemical resistant semiconductor processing chamber bodies
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US7777160B2 (en) * 2007-12-17 2010-08-17 Momentive Performance Materials Inc. Electrode tuning method and apparatus for a layered heater structure
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR100960391B1 (ko) * 2007-12-26 2010-05-28 포항공과대학교 산학협력단 나노 디바이스의 제조 방법 및 그 제조 장치
KR20090071060A (ko) * 2007-12-27 2009-07-01 주성엔지니어링(주) 정전척 및 그를 포함하는 기판처리장치
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR100916186B1 (ko) * 2008-05-14 2009-09-08 주식회사 템네스트 온도균일화 수단이 내장되어 있는 정전척
JP5324251B2 (ja) * 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
CN102057332B (zh) * 2008-06-10 2014-04-09 Asml荷兰有限公司 用于热调节光学元件的方法和系统
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
EP2338164A4 (en) * 2008-08-29 2012-05-16 Veeco Instr Inc VARIABLE THERMAL RESISTANCE PLATE HOLDER
JP2010062195A (ja) * 2008-09-01 2010-03-18 Hitachi High-Technologies Corp プラズマ処理装置及び試料載置電極
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
JP5382744B2 (ja) * 2009-06-24 2014-01-08 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
CN102576548B (zh) * 2009-11-03 2017-03-15 应用材料公司 针对图案化磁盘媒介应用的等离子体离子注入工艺期间的基板温度控制
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
WO2011082371A2 (en) * 2009-12-30 2011-07-07 Solexel, Inc. Mobile electrostatic carriers for thin wafer processing
KR101108337B1 (ko) * 2009-12-31 2012-01-25 주식회사 디엠에스 2단의 냉매 유로를 포함하는 정전척의 온도제어장치
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR101636764B1 (ko) * 2010-05-31 2016-07-06 주식회사 미코 정전척 및 이를 포함하는 기판 처리 장치
JP5618638B2 (ja) * 2010-06-07 2014-11-05 株式会社日立ハイテクノロジーズ プラズマ処理装置または試料載置台
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8822876B2 (en) * 2010-10-15 2014-09-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5982758B2 (ja) 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
JP5882614B2 (ja) * 2011-06-29 2016-03-09 株式会社日本セラテック セラミックスヒータ
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
CN104067691B (zh) * 2011-08-30 2017-04-19 沃特洛电气制造公司 高清晰度加热器和操作方法
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
WO2014046840A1 (en) * 2012-09-19 2014-03-27 Applied Materials, Inc. Methods for bonding substrates
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5992388B2 (ja) * 2012-12-03 2016-09-14 日本碍子株式会社 セラミックヒーター
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
CH707480B1 (de) * 2013-01-21 2016-08-31 Besi Switzerland Ag Bondkopf mit einem heiz- und kühlbaren Saugorgan.
US20140209242A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Substrate processing chamber components incorporating anisotropic materials
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
JP6196095B2 (ja) * 2013-08-07 2017-09-13 日本特殊陶業株式会社 静電チャック
JP2016539489A (ja) * 2013-09-20 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 集積静電チャックを備えた基板キャリア
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103594312A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 点状高电流离子注入机
CN103762145B (zh) * 2013-12-23 2016-03-09 中国电子科技集团公司第四十八研究所 旋转盘高温靶室系统
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10153191B2 (en) 2014-05-09 2018-12-11 Applied Materials, Inc. Substrate carrier system and method for using the same
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9786539B2 (en) * 2014-07-16 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd Wafer chuck
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6278277B2 (ja) * 2015-01-09 2018-02-14 住友大阪セメント株式会社 静電チャック装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10154542B2 (en) * 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10499461B2 (en) * 2015-12-21 2019-12-03 Intel Corporation Thermal head with a thermal barrier for integrated circuit die processing
JP6633931B2 (ja) * 2016-02-10 2020-01-22 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP6639940B2 (ja) * 2016-02-17 2020-02-05 日本特殊陶業株式会社 保持装置および保持装置の製造方法
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
JP6445191B2 (ja) * 2016-05-09 2018-12-26 株式会社アルバック 静電チャック、および、プラズマ処理装置
WO2017195893A1 (ja) * 2016-05-13 2017-11-16 Toto株式会社 静電チャック
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106091470A (zh) * 2016-06-21 2016-11-09 上海工程技术大学 一种制冷设备及其制冷方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11043401B2 (en) * 2017-04-19 2021-06-22 Ngk Spark Plug Co., Ltd. Ceramic member
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP6924618B2 (ja) 2017-05-30 2021-08-25 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
WO2018237388A1 (en) * 2017-06-23 2018-12-27 Watlow Electric Manufacturing Company HEAT PLATE BASE AT HIGH TEMPERATURE
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN109213086B (zh) * 2017-06-29 2020-10-23 台湾积体电路制造股份有限公司 制程系统与制程方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
DE112018005933B4 (de) 2017-11-21 2021-11-18 Watlow Electric Manufacturing Company Keramiksockelanordnung und Verfahren zur Bildung einer Keramiksockelanordnung
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109962030B (zh) * 2017-12-22 2022-03-29 中微半导体设备(上海)股份有限公司 一种静电吸盘
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113439330A (zh) 2019-02-12 2021-09-24 朗姆研究公司 具有陶瓷单体的静电卡盘
CN110289241B (zh) * 2019-07-04 2022-03-22 北京北方华创微电子装备有限公司 静电卡盘及其制作方法、工艺腔室和半导体处理设备
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP7316179B2 (ja) * 2019-10-04 2023-07-27 東京エレクトロン株式会社 基板支持台、及びプラズマ処理装置
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
KR102372810B1 (ko) * 2020-03-27 2022-03-11 주식회사 케이에스티이 정전척
KR102615216B1 (ko) * 2020-05-15 2023-12-15 세메스 주식회사 정전 척, 기판 처리 장치 및 기판 처리 방법
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
CN112144033B (zh) * 2020-09-09 2022-12-09 北京北方华创微电子装备有限公司 基座组件及半导体加工设备
CN114388323A (zh) * 2020-10-20 2022-04-22 中微半导体设备(上海)股份有限公司 一种静电夹盘及其等离子体处理装置
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备
CN117127154A (zh) * 2023-10-16 2023-11-28 粤芯半导体技术股份有限公司 一种半导体器件中的互连金属的沉积方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051480A (ja) 2001-05-30 2003-02-21 Yamaha Corp 基板処理方法と装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US6052271A (en) * 1994-01-13 2000-04-18 Rohm Co., Ltd. Ferroelectric capacitor including an iridium oxide layer in the lower electrode
US5673647A (en) 1994-10-31 1997-10-07 Micro Chemical, Inc. Cattle management method and system
JP3537544B2 (ja) 1995-06-22 2004-06-14 大日本スクリーン製造株式会社 グラビア彫刻システム
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
TW286414B (en) * 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3979694B2 (ja) * 1997-01-22 2007-09-19 株式会社巴川製紙所 静電チャック装置およびその製造方法
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6482747B1 (en) 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6256187B1 (en) 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3723398B2 (ja) 2000-01-28 2005-12-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002270680A (ja) * 2001-02-28 2002-09-20 Applied Materials Inc 基板支持方法及び基板支持装置
KR20030000768A (ko) * 2001-06-27 2003-01-06 삼성전자 주식회사 새도우 링이 부착된 정전척
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
US20030089457A1 (en) 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
JP4218822B2 (ja) 2002-07-19 2009-02-04 東京エレクトロン株式会社 真空断熱層を有する載置機構
CN2585414Y (zh) 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
EP1635388A4 (en) * 2003-06-17 2009-10-21 Creative Tech Corp DIPOLAR ELECTROSTATIC CLAMPING DEVICE
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
GB0320469D0 (en) * 2003-09-01 2003-10-01 Nokia Corp A method of controlling connection admission
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
DE602005023977D1 (de) * 2005-07-29 2010-11-18 Eta Sa Mft Horlogere Suisse Elektronische Taucheruhr mit einer analogen redundanten Anzeige der momentanen Tiefe
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051480A (ja) 2001-05-30 2003-02-21 Yamaha Corp 基板処理方法と装置

Also Published As

Publication number Publication date
US20060076108A1 (en) 2006-04-13
TWM314913U (en) 2007-07-01
US20060076109A1 (en) 2006-04-13
JP4481913B2 (ja) 2010-06-16
KR100815539B1 (ko) 2008-03-20
CN1945807B (zh) 2012-11-28
CN1779938A (zh) 2006-05-31
US8075729B2 (en) 2011-12-13
CN1945807A (zh) 2007-04-11
KR20060121773A (ko) 2006-11-29
US7544251B2 (en) 2009-06-09
JP2006140455A (ja) 2006-06-01
US20070102118A1 (en) 2007-05-10
KR20060052119A (ko) 2006-05-19
JP3129419U (ja) 2007-02-22
TWI323018B (en) 2010-04-01
TW200616139A (en) 2006-05-16

Similar Documents

Publication Publication Date Title
KR101045730B1 (ko) 기판의 온도를 제어하기 위한 장치
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
US7846254B2 (en) Heat transfer assembly
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
KR101582785B1 (ko) 정전 척 조립체
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
US20140356985A1 (en) Temperature controlled substrate support assembly
EP2088616A2 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20040261721A1 (en) Substrate support having dynamic temperature control
US6302966B1 (en) Temperature control system for plasma processing apparatus
TW201448108A (zh) 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
JP7250076B2 (ja) ウエハ処理システム向けの、ボルト留めされたウエハチャックの熱管理のシステム及び方法
US11367597B2 (en) Electrostatic chuck and plasma processing apparatus including the same
JP2011508436A (ja) 基板の温度を制御するための方法及び装置
US20030089457A1 (en) Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
US20230075462A1 (en) Electrostatic edge ring mounting system for substrate processing
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee