CN110050334A - 具有背部气源的可旋转静电吸盘 - Google Patents

具有背部气源的可旋转静电吸盘 Download PDF

Info

Publication number
CN110050334A
CN110050334A CN201780075409.1A CN201780075409A CN110050334A CN 110050334 A CN110050334 A CN 110050334A CN 201780075409 A CN201780075409 A CN 201780075409A CN 110050334 A CN110050334 A CN 110050334A
Authority
CN
China
Prior art keywords
substrate
coupled
support base
substrate support
electrostatic chuck
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780075409.1A
Other languages
English (en)
Other versions
CN110050334B (zh
Inventor
比哈瑞斯·斯瓦米纳森
伟·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110050334A publication Critical patent/CN110050334A/zh
Application granted granted Critical
Publication of CN110050334B publication Critical patent/CN110050334B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

本文公开了基板支撑底座和结合所述基板支撑底座的静电吸盘的实施方式。在一些实施方式中,基板支撑底座包括:具有上表面和与上表面相对的下表面的主体;一个或多个设置在主体内的吸附电极;自上表面突出以支撑基板的多个基板支撑元件;在主体中心处设置在下表面中并且部分地穿过主体的孔;多个气孔,所述多个气孔设置在靠近主体中心的上表面中,其中所述多个气孔设置在孔的上方并且流体耦接至孔;和多个气体分配槽,所述多个气体分配槽形成在上表面中并且流体耦接至多个气孔。

Description

具有背部气源的可旋转静电吸盘
技术领域
本公开内容的实施方式大体上涉及在微电子装置制造工艺中用于保持基板的静电吸盘。
背景技术
在基板(例如,STT-RAM)上形成一些装置需要在沉积腔室(如物理气相沉积(PVD)腔室)中沉积的多层薄膜。在一些实施方式中,在沉积工艺期间,需要旋转基板以获得良好的膜均匀性。例如,当沉积工艺需要多个阴极和靶以沉积不同材料时,因为每个靶通常相对于基板离轴设置,所以需要旋转基板以确保良好的膜均匀性。某些层的沉积也可能需要加热基板。此外,沉积工艺需要高真空压力。在沉积工艺中,通常使用静电吸盘来将基板静电地保持在基板支撑件上。常规地,静电吸盘包括陶瓷体,陶瓷体中设置有一个或多个电极。典型的静电吸盘仅竖直上下移动,以便于基板传送。然而,发明人已观察到,由于基板上的不均匀沉积,这样的移动限制妨碍了使用这些常规的静电吸盘来进行离轴沉积。
此外,因为底座粘合(bond)到静电吸盘的其余部分,所以在低温工艺和高温工艺之间切换时,常规的静电吸盘需要改变静电吸盘的许多部分。因此,产量受到负面影响(因为在高温静电吸盘和低温静电吸盘之间切换时破坏真空密封)。
因此,发明人提供了改善的可旋转加热的静电吸盘的实施方式。
发明内容
本文公开了基板支撑底座和结合所述基板支撑底座的静电吸盘的实施方式。在一些实施方式中,基板支撑底座包括:具有上表面和与上表面相对的下表面的主体;一个或多个设置在主体内的吸附电极;自上表面突出以支撑基板的多个基板支撑元件;在主体中心处设置在下表面中并且部分地穿过主体的孔;多个气孔,所述多个气孔设置在靠近主体中心的上表面中,其中所述多个气孔设置在孔的上方并且流体耦接至孔;和多个气体分配槽,所述多个气体分配槽形成在上表面中并且流体耦接至多个气孔。
在一些实施方式中,一种处理腔室包括限定内部容积的腔室主体和设置在所述内部容积内的基板支撑件。基板支撑件包含基板支撑底座,所述基板支撑底座具有:主体,所述主体具有上表面和与所述上表面相对的下表面;一个或多个吸附电极,所述一个或多个吸附电极设置在所述主体内;多个基板支撑元件,所述多个基板支撑元件从所述上表面突出以支撑基板;孔,所述孔在所述主体的中心处设置于所述下表面中并且部分地穿过所述主体;多个气孔,所述多个气孔靠近所述主体的中心设置在所述上表面中,其中所述多个气孔设置在所述孔的上方并且流体耦接至所述孔;和多个气体分配槽,所述多个气体分配槽形成在所述上表面中并且流体耦接至所述多个气孔。
在一些实施方式中,一种基板支撑底座包括:主体,所述主体具有上表面和与所述上表面相对的下表面;一个或多个吸附电极,所述一个或多个吸附电极设置在所述主体内;多个基板支撑元件,所述多个基板支撑元件从所述上表面突出以支撑基板;孔,所述孔在所述主体的中心处设置于所述下表面中并且部分地穿过所述主体;多个气孔,所述多个气孔靠近所述主体的中心设置在所述上表面中,其中所述多个气孔设置在所述孔的上方并且流体耦接至所述孔;多个气体分配槽,所述多个气体分配槽形成在所述上表面中并且流体耦接至所述多个气孔;和气塞,所述气塞设置在所述多个气孔下方的所述孔中,其中所述气塞包括沿着所述气塞的中心轴延伸并且具有第一直径的通道,其中所述通道终止于在所述气塞的顶表面中形成的扩张开口,并且其中所述扩张开口具有比所述第一直径大的第二直径,以允许流经所述通道的气体在流过所述多个气孔之前扩张至所述扩张开口中。
下文描述本公开内容的其他和进一步的实施方式。
附图说明
通过参考附图中描绘的本公开内容的说明性实施方式,可理解上文简要总结并且在下文更详细论述的本公开内容的实施方式。然而,附图仅图示本公开内容的典型实施方式,并且因此不视为是对范围的限制,因为本公开内容可允许其他等效的实施方式。
图1描绘了根据本公开内容的一些实施方式的适合与静电吸盘一起使用的工艺腔室的示意图。
图2描绘了根据本公开内容的一些实施方式的静电吸盘的示意性横截面图。
图3描绘了根据本公开内容的一些实施方式的静电吸盘的上部分的横截面图。
图4A描绘了根据本公开内容的一些实施方式的静电吸盘的下部分的横截面图。
图4B描绘了根据本公开内容的一些实施方式的用于与静电吸盘一起使用的轴承组件的等轴横截面图。
图5描绘了根据本公开内容的一些实施方式的基板支撑底座的俯视图。
图6描绘了根据本公开内容的一些实施方式的基板加热设备的俯视图。
为了便于理解,已尽可能地使用相同的参考数字来表示图中共有的相同元件。未按比例绘图,并且为了清楚起见可简化图。一个实施方式的元件和特征可有益地并入其他实施方式中,而无需进一步的叙述。
具体实施方式
本文提供了基板支撑底座和结合所述基板支撑底座的可旋转并且加热的静电吸盘的实施方式。本发明的基板支撑底座有利地改善了背部气体分配均匀性。当在高温工艺和低温工艺之间切换时,本发明的基板支撑底座还通过提供可移除的底座来增加产量,所述可移除的底座能快速地与适于在不同温度下运行的工艺的另一底座交换。本发明的静电吸盘有利地允许将来自DC功率源的DC功率耦接至设置在旋转底座中的一个或多个吸附电极。
图1是根据本公开内容的一些实施方式的等离子体处理腔室的示意性横截面图。在一些实施方式中,等离子体处理腔室是物理气相沉积(PVD)处理腔室。然而,其他类型的处理腔室也可使用或被修改以与本文所述的本发明的静电吸盘的实施方式一起使用。
腔室100为真空腔室,所述真空腔室在基板处理期间经适当调适以维持腔室内部容积120内的低于大气压的压力。腔室100包括由盖104覆盖的腔室主体106,所述盖104封闭位于腔室内部容积120的上半部分中的处理容积119。腔室100也可包括约束(circumscrbe)各个腔室部件的一个或多个屏蔽物105,以防止这样的部件与离子化的工艺材料之间的不想要的反应。腔室主体106和盖104可由金属(如铝)制成。腔室主体106可经由到地线(ground)115的耦接而接地。
基板支撑件124设置在腔室内部容积120内,以支撑和保持基板S(如半导体晶片,(例如)或可静电保持的其他这样的基板)。基板支撑件124通常可包括静电吸盘150(下文参考图2至图4而更详细地描述)和用于支撑静电吸盘150的中空支撑轴112。中空支撑轴112提供导管以提供(例如)工艺气体、流体、冷却剂、功率或类似物至静电吸盘150。
在一些实施方式中,中空支撑轴112耦接至马达113,马达113作为旋转组件以旋转中空支撑轴112,并且(可选地)用作竖直升降机以提供静电吸盘150在上方处理位置(如图1所示)和下方传送位置(未示出)之间的竖直移动。波纹管组件110围绕中空支撑轴112设置,并且耦接在静电吸盘150和腔室100的底表面126之间,以提供柔性的密封,所述柔性的密封允许静电吸盘150竖直运动,同时防止腔室100内真空的损失。波纹管组件110还包括下部波纹管凸缘164,下部波纹管凸缘164与O形环165或其他与底表面126接触的合适密封元件接触,以帮助防止腔室真空的损失。
中空支撑轴112提供用于将流体源142、气源141、吸附电源140和RF源(例如,RF等离子体电源170和RF偏压电源117)耦接至静电吸盘150的导管。在一些实施方式中,RF等离子体电源170和RF偏压电源117经由相应的RF匹配网路(仅示出RF匹配网路116)耦接至静电吸盘。
基板升降机130可包括升降杆109,升降杆109安装在平台108上,平台108连接至轴111,轴111耦接至第二升降机构132以升高和降低基板升降机130,使得基板“S”可放置在静电吸盘150上或从静电吸盘150移除。静电吸盘150包括通孔(下文描述)以接收升降杆109。波纹管组件131耦接在基板升降机130与底表面126之间以提供柔性的密封,所述柔性的密封在基板升降机130的竖直运动期间维持腔室真空。
腔室100耦接至真空系统114并与真空系统114流体连通,真空系统114包括用来抽空腔室100的节流阀(未示出)和真空泵(未示出)。腔室100内的压力可通过调整节流阀和/或真空泵来调节。腔室100还耦接至工艺气源118并且与工艺气源118流体连通,工艺气源118可向腔室100供应一种或多种工艺气体以处理设置在腔室100中的基板。
在操作中,例如,可在腔室内部容积120中产生等离子体102以执行一个或多个工艺。等离子体102可经由一个或多个靠近腔室内部容积120或在腔室内部容积120内的电极将来自等离子体功率源(例如,RF等离子体电源170)的功率耦接至工艺气体以点燃(ignite)工艺气体和产生等离子体102来产生。在一些实施方式中,也可经由电容耦合的偏压板(在下文描述)而从偏压电源(例如,RF偏压电源117)将偏压功率提供至设置在静电吸盘150内的一个或多个电极(在下文描述),以将来自等离子体的离子吸引朝向基板S。
在一些实施方式中,例如在腔室100是PVD腔室的情况下,包括待沉积在基板S上的源材料的靶166可设置在基板上方并且在腔室内部容积120内。靶166可由腔室100的接地传导部分(例如,穿过介电隔离物的铝适配器)支撑。在其他实施方式中,腔室100可包括在多阴极布置中的多个靶,以使用相同腔室来沉积不同材料的层。
可控DC功率源168可耦接至腔室100以向靶166施加负电压或偏压。RF偏压电源117可耦接至基板支撑件124以在基板S上诱发负DC偏压。此外,在一些实施方式中,在处理期间可在基板S上形成负的DC自偏压。在一些实施方式中,RF等离子体电源170也可耦接至腔室100,以将RF功率施加至靶166,以便于控制基板S上的沉积速率的径向分布。在操作中,在腔室100中产生的等离子体102中的离子与来自靶166的源材料反应。所述反应使靶166射出源材料的原子,这些原子接着被引导朝向基板S,因而沉积材料。
图2描绘了根据本公开内容的实施方式的静电吸盘(吸盘200)的示意性横截面图。吸盘200包括基板支撑底座(例如,盘202)、从盘202的底部延伸的轴204和包围盘202、轴204和吸盘200的所有部件(在下文描述)的外壳206。
盘202由诸如陶瓷材料的介电材料形成,所述介电材料例如为氮化铝、氧化铝、氮化硼和掺杂有氧化钛的氧化铝和类似物。在一些实施方式中,盘202由氮化铝形成,氮化铝被掺杂以控制盘202的体电阻率。盘202包括一个或多个设置在盘202的上表面附近的吸附电极208。一个或多个吸附电极208由合适的导电材料(如钼、钛或类似物)制成。可在处理期间以将使基板充分固定至盘的上表面的任何配置来布置一个或多个吸附电极208。例如,可布置一个或多个吸附电极208以提供单电极静电吸盘、双极静电吸盘或类似物。
如上所述,盘202也可包括一个或多个RF偏压电极210。一个或多个RF偏压电极210经配置以将来自等离子体的离子吸引朝向设置在盘202上的基板。经由RF偏压电源117来将功率输送至RF偏压电极210。在一些实施方式中,RF偏压板(未示出)可用于将RF偏压功率电容耦合至RF偏压电极210,从而去除任何直接的电耦合。因此,能够在盘202旋转的同时,将功率输送至RF偏压电极210。
为了便于加热盘202和当基板设置在盘202上时加热基板,吸盘200包括灯罩216,灯罩216包括多个灯214。灯罩216由能够承受多个灯214的热的材料形成。例如,灯罩216可由陶瓷材料形成。多个灯214包括能经由辐射发射足够的热来加热盘202的任何类型的灯。例如,多个灯214可包括卤素灯。在一些实施方式中,多个灯214的总功率输出在约2.25千瓦(kW)与9.5kW之间。
吸盘200也可包括靠近盘202定位(例如,在盘202的约3英寸内)的轴承218,以在旋转期间向吸盘200提供增加的稳定性。轴承218可包括(例如)交叉滚子轴承或类似物。
吸盘200进一步包括用于旋转盘202的磁性驱动组件222。磁性驱动组件222包括内磁体222A和外磁体222B。内磁体222A附接至(或固定至)轴204。在一些实施方式中,内磁体222A附接至轴204的下部分,所述下部分靠近与盘202相对的轴204的端部。外磁体222B靠近内磁体222A设置在外壳206的外部。外磁体222B可由合适的机构驱动(例如通过带驱动或马达),以驱动内磁体222A,和轴204与盘202。因为内磁体222A设置在外壳206内,所以内磁体222A处于真空压力,因为外磁体222B设置在外壳206的外部,所以外磁体222B处于大气压力。然而,内磁体222A和外磁体222B皆可替代地设置在外壳206内。因此,磁性驱动组件222使盘202和轴204相对于工艺腔室和吸盘200的保持静止的其余部件(例如,外壳206、灯罩216和类似物)旋转。或者,磁性驱动组件222可使用其他配置来旋转盘202和轴204。例如,在一些实施方式中,内磁体222A和外磁体222B可在具有缠绕定子的导体以电磁驱动转子的情况下分别作为转子与定子。
吸盘200还包括位于轴204的与盘202相对的端处的轴承组件224。轴承组件224支撑轴204并且有利于轴204的旋转。此外,发明人已提供了一种改善的方法,以经由轴承组件224将功率路由至吸附电极208以助于在旋转吸盘200的同时向吸附电极208提供功率。经由外壳206中的连接(参见图4A)而自DC功率源226汲取功率,并且将功率路由至轴承组件224。电流流过轴承组件224,并且随后经由设置在轴204的内部内的多个吸附电力线228而路由至吸附电极208。
参考图3中的吸盘200的横截面图,多个灯214从设置在介电板302(如陶瓷板)中的多个导体304接收功率。导体304可经由加热器电力线(例如,导体)310、320而从DC功率源226或从另一个电源(未示出)接收功率。在一些实施方式中,介电层306可设置在介电板302顶上,以保护导体304并且防止导体304与吸盘200的任何其他传导元件之间的无意接触。提供介电层306中的开口以助于将导体304耦接至相应的灯214。在一些实施方式中,可将多个灯分成多个区域,例如,如图6所示的灯的内阵列和可独立控制的灯的外阵列。
如上所述,一旦开启多个灯214时,就产生热并且加热盘202。因为在每个方向中发射热,所以在外壳206中形成多个流体通道308以保持外壳206冷却。任何合适的冷却剂(例如,水、丙二醇或类似物)可流过流体通道308以冷却外壳206。
为了助于在盘202上放置和移除基板,吸盘200也可包括升降杆组件,升降杆组件包括多个升降杆314,以将基板升高离开盘202和降低至盘202上。在一些实施方式中,多个升降杆314中的至少一个可包括高温计以测量盘202的温度。与升降杆314相对设置的盘202的区域可被处理为具有非常高的发射率,以助于高温计监测盘202的温度。
吸盘200进一步包括介电底座支撑件312,盘202可移除地耦接至所述介电底座支撑件312。底座支撑件312包括对应于多个吸附电力线228并且耦接至多个吸附电力线228的多个电分接头(electrical tap)332。多个电分接头332耦接至一个或多个吸附电极298,并且包括至少正分接头和负分接头。在一些实施方式中,多个电分接头332还可包括中心分接头,以平衡正负分接头之间的电压。在一些实施方式中,金属套管330可围绕底座支撑件312设置,以使多个电分接头332与由多个灯214发射的辐射屏蔽。在一些实施方式中,金属套管可由铝形成。在一些实施方式中,底座支撑件312可由氧化铝形成。
底座支撑件312进一步包括中央通道334,中央通道334从第一端371至第二端372穿过底座支撑件312设置,以在处理期间当基板设置于盘202上时提供穿过盘202并且到基板背部的背部气体。中央通道334流体耦接至导管318,导管318设置在轴204内并且流体耦接至气源141。在一些实施方式中,并且如图3所示,导管318部分地延伸至底座支撑件312中(即,至中央通道334中)。在这样的实施方式中,动态密封O形环388设置在导管318的外壁与中央通道334的内壁之间。动态密封O形环388提供动态密封,以防止任何背部气体在底座支撑件312围绕静止的导管318旋转期间的泄漏。
底座支撑件312在第一端371处耦接至盘202并且在第二端372处耦接至轴204。底座支撑件312以与多个灯214间隔开的关系来支撑盘202。将在下文中参照图5来更详细地解释盘202与轴的耦接。
下文对盘202的描述将参考图3和图5,图3示出盘202的横截面,图5示出盘202的俯视图。在一些实施方式中,盘202可具有约5mm与约7mm之间的厚度。盘202具有上表面340和下表面341。多个基板支撑元件502(图5中示出)自上表面340突出以支撑基板。在一些实施方式中,多个基板支撑元件502中的每一个具有约2.5微米与约3.25微米之间的高度。在一些实施方式中,多个基板支撑元件502中的至少一些基板支撑元件可为弓形的(或直线并且细长的)并且沿着多个同心圆形路径对齐(在图5中最佳地看到)。
孔378在盘202的中心处形成在下表面341中并且部分地穿过盘202。多个气孔379在孔378上方靠近盘202的中心处形成在上表面340中,使得多个气孔379流体耦接至孔378。盘202进一步包括形成在上表面340中并且流体耦接至气孔379的多个气体分配槽。
如图5所示,多个气体分配槽包括多个径向槽504、多个环形槽506和多个中间槽508。多个径向槽504对应于多个气孔379并且从多个气孔379径向向外延伸。在一些实施方式中,存在三个气孔379和三个对应的径向槽504,每个径向槽从相应的气孔379延伸至多个环形槽506的最外面的环形槽。多个环形槽506与多个径向槽504相交并且流体耦接至多个径向槽504。多个中间槽508设置在多个环形槽506之间并与多个环形槽506相交。多个气体分配槽有利地提供用于流过多个气孔379的气体的流动路径,使得气体跨正被处理的基板的背部均匀地分布。在一些实施方式中,多个气体分配槽的每一个具有约100微米的深度。与多个环形槽506的最外面的环形槽相邻的外部环516大体上包含在多个气体分配槽中流动的气体。多个气体分配槽的图案在盘202的上表面340中形成岛514和外部环516。基板支撑元件502从岛514和外部环516突出。额外的基板支撑元件518可靠近盘202的中心形成,以在基板设置于盘202上时进一步支撑基板的中心。
如上所述,发明人已发现,由于常规的基板支撑底座粘合至吸盘,在低温吸盘和高温吸盘之间的切换降低系统的产量。如此一来,在一些实施方式中,盘202可包括多个安装孔510,多个安装孔510延伸穿过盘202以容纳对应的多个固定元件(如螺栓或类似物),以有利地助于以更容易的可移除并且可替换的方式来将盘202耦接至吸盘(例如,耦接至如图3所示的诸如底座支撑件312的下方支撑件)。盘202进一步包括多个升降杆孔512,升降杆314延伸穿过升降杆孔512以将基板从盘202升起或接收待处理的基板。
回到图3,在一些实施方式中,盘202进一步包括设置在多个气孔379下方的孔378中的气塞(gas plug)380。在一些实施方式中,气塞380可通过设置在气塞280的外壁与孔378的内壁之间的倾斜弹簧390来保持在适当位置。气塞380包括具有第一直径并且沿气塞380的中心轴延伸的通道382。通道382终止于形成在气塞的顶表面中的扩张开口384。扩张开口384具有比第一直径大的第二直径,并且经配置以允许流经通道382的背部气体在流过多个气孔379之前扩张至扩张开口384中。因为多个气孔379的相应尺寸与扩张开口384的尺寸比相对较小,所以扩张开口384作为淤塞点(choke point),因而有利地造成通过多个气孔379的每一个气孔的均匀气流。导管318、中央通道334、通道382、扩张开口384、气孔379和多个气体分配槽一起提供背部气体至正被处理的基板的背部。在一些实施方式中,气塞380由介电材料(举例而言如氧化铝)形成。
图4A描绘了根据本公开内容的一些实施方式的设置在吸盘底部中的轴承组件的横截面图。图4B描绘了根据本公开内容的一些实施方式的轴承组件的等轴横截面图。在一些实施方式中,轴承组件为上文所述的轴承组件224。在一些实施方式中,轴承组件224包括多个轴承450,多个轴承450电耦接至正功率连接402和负功率连接404,正功率连接402和负功率连接404电耦接至DC电源(例如,吸附电源140)的相应的正引线和负引线。例如,多个轴承450的第一轴承451可经由第一传导元件420而电耦接至正功率连接402。类似地,第二轴承452可经由类似于第一传导元件420的第二传导元件(未示出)而电耦接至负功率连接404。传导元件经配置为使得每个传导元件仅与多个轴承350中的一个轴承电接触。在第一轴承451与正功率连接402电耦接的范例中,正分接头412(图4A)延伸至第一节(nub)411(图4B)的第一孔413中以接收正DC功率。类似地,负分接头414(图4A)延伸至第二节417(图4B)的第二孔415中以接收负DC功率。正分接头412和负分接头414电耦接至多个吸附电力线228,以向一个或多个吸附电极208提供DC功率。在一些实施方式中,上面提到的中心分接头(未示出)可以耦接到第三轴承453。
在一些实施方式中,轴承组件224可具有基部部分422,基部部分422包括多个固定孔430,多个固定孔430用于接收对应的多个固定元件431,以将轴承组件224耦接至吸盘200。如图4A中所示,导管318延伸穿过轴承组件224的中间并终止于基部部分422处。第一密封构件408可在导管318与基部部分422的界面处围绕导管318设置,以防止泄漏任何气体。气体连接件418在一端处与导管318相对地耦接至基部部分422,并且在相对端处耦接至气源141。第二密封构件410可设置在气体连接件418的部分和基部部分422之间以防止任何气体的泄漏。
图6描绘了具有多个灯214的灯罩216的俯视图。如上所述,多个灯214加热盘202和设置在盘202顶上的基板。灯罩216还包括底座支撑件312所穿过而延伸的中心孔602和多个孔270,以允许多个升降杆314穿过灯罩216。尽管以特定配置示出,但可改变灯的形状和数量以在盘202上提供期望的热分布。
尽管前述内容针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计本公开内容的其他和进一步的实施方式。

Claims (15)

1.一种基板支撑底座,包括:
主体,所述主体具有上表面和与所述上表面相对的下表面;
一个或多个吸附电极,所述一个或多个吸附电极设置在所述主体内;
多个基板支撑元件,所述多个基板支撑元件从所述上表面突出以支撑基板;
孔,所述孔在所述主体的中心处设置于所述下表面中并且部分地穿过所述主体;
多个气孔,所述多个气孔靠近所述主体的所述中心设置在所述上表面中,其中所述多个气孔设置在所述孔的上方并且流体耦接至所述孔;和
多个气体分配槽,所述多个气体分配槽形成在所述上表面中并且流体耦接至所述多个气孔。
2.如权利要求1所述的基板支撑底座,其中所述主体为介电盘。
3.如权利要求2所述的基板支撑底座,其中所述介电盘具有在约5mm与约7mm之间的厚度。
4.如权利要求1至3的任一项所述的基板支撑底座,其中所述多个气体分配槽包括:
多个径向槽,所述多个径向槽对应于所述多个气孔并且从所述多个气孔径向向外延伸;
多个环形槽,所述多个环形槽流体耦接至所述多个径向槽;和
多个中间槽,所述多个中间槽设置在所述多个环形槽之间。
5.如权利要求1至3的任一项所述的基板支撑底座,其中所述多个基板支撑元件中的每一个具有在约2.5微米与约3.25微米之间的高度。
6.如权利要求1至3的任一项所述的基板支撑底座,其中所述多个气体分配槽具有约100微米的深度。
7.如权利要求1至3的任一项所述的基板支撑底座,进一步包括:
多个安装孔,所述多个安装孔经配置以接收对应的多个固定元件,以将所述基板支撑底座耦接至下方支撑件。
8.如权利要求1至3的任一项所述的基板支撑底座,进一步包括:
气塞,所述气塞设置在所述多个气孔下方的所述孔中,
其中所述气塞包括沿着所述气塞的中心轴延伸并且具有第一直径的通道,
其中所述通道终止于在所述气塞的顶表面中形成的扩张开口处,并且其中所述扩张开口具有比所述第一直径大的第二直径,以允许流经所述通道的气体在流过所述多个气孔之前扩张至所述扩张开口中。
9.一种静电吸盘,包括:
如权利要求1至3中的任一项所述的基板支撑底座;
灯罩,所述灯罩设置在所述基板支撑底座下方并且具有多个灯,所述多个灯经配置以加热所述基板支撑底座和所述基板,其中所述灯罩包括中心孔;
底座支撑件,所述底座支撑件延伸穿过所述中心孔并且在所述底座支撑件的第一端处耦接至所述基板支撑底座的所述下表面,以用与所述多个灯间隔开的关系来支撑所述基板支撑底座,其中多个电分接头穿过所述底座支撑件并且耦接至所述基板支撑底座中的所述一个或多个吸附电极;
轴,所述轴耦接至所述底座支撑件的与所述第一端相对的第二端;和
旋转组件,所述旋转组件耦接至与所述底座支撑件相对的所述轴,以使所述轴、所述底座支撑件和所述基板支撑底座相对于所述灯罩旋转。
10.如权利要求9所述的静电吸盘,进一步包括:
金属套筒,所述金属套筒围绕在所述底座支撑件设置,以使所述多个电分接头与由所述多个灯产生的辐射隔离。
11.如权利要求9所述的静电吸盘,其中所述多个灯包括卤素灯,并且具有在约2.25kW与约9.5kW之间的总功率输出。
12.如权利要求9所述的静电吸盘,其中所述多个灯包括灯的内阵列和可独立控制的灯的外阵列。
13.如权利要求9所述的静电吸盘,进一步包括:
轴承组件,所述轴承组件围绕所述轴设置。
14.如权利要求13所述的静电吸盘,其中所述轴承组件电耦接至所述吸附电极,使得功率可经由所述轴承组件馈送以向所述一个或多个吸附电极供电。
15.如权利要求14所述的静电吸盘,进一步包括:
DC功率源,所述DC功率源具有正引线和负引线,
其中所述轴承组件包括至少第一轴承和第二轴承,
其中所述多个电分接头包括至少正分接头和负分接头,
其中所述正引线耦接至所述第一轴承并且所述负引线耦接至所述第二轴承,并且
其中所述正分接头耦接至所述第一轴承并且所述负分接头耦接至所述第二轴承。
CN201780075409.1A 2016-12-16 2017-12-14 具有背部气源的可旋转静电吸盘 Active CN110050334B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662435447P 2016-12-16 2016-12-16
US62/435,447 2016-12-16
US15/839,563 2017-12-12
US15/839,563 US10784139B2 (en) 2016-12-16 2017-12-12 Rotatable electrostatic chuck having backside gas supply
PCT/US2017/066332 WO2018112159A2 (en) 2016-12-16 2017-12-14 Rotatable electrostatic chuck having backside gas supply

Publications (2)

Publication Number Publication Date
CN110050334A true CN110050334A (zh) 2019-07-23
CN110050334B CN110050334B (zh) 2023-09-05

Family

ID=62559718

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780075409.1A Active CN110050334B (zh) 2016-12-16 2017-12-14 具有背部气源的可旋转静电吸盘

Country Status (6)

Country Link
US (1) US10784139B2 (zh)
EP (1) EP3555910B1 (zh)
KR (1) KR102516133B1 (zh)
CN (1) CN110050334B (zh)
TW (1) TWI755461B (zh)
WO (1) WO2018112159A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6777055B2 (ja) * 2017-01-11 2020-10-28 東京エレクトロン株式会社 基板処理装置
US11149345B2 (en) 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11145532B2 (en) * 2018-12-21 2021-10-12 Toto Ltd. Electrostatic chuck
US20210217585A1 (en) * 2020-01-15 2021-07-15 Applied Materials, Inc. Methods and apparatus for carbon compound film deposition
US20240018646A1 (en) * 2022-07-14 2024-01-18 Applied Materials, Inc. Rotary electrical feedthrough integration for process chamber

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
EP2015343A2 (en) * 2007-07-13 2009-01-14 Applied Materials, Inc. High temperature cathode for plasma etching
KR20090013052A (ko) * 2007-07-31 2009-02-04 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전기 척을제공하는 방법 및 장치
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
TW201101414A (en) * 2009-04-24 2011-01-01 Applied Materials Inc Substrate support having side gas outlets and methods
US20110045617A1 (en) * 2009-08-24 2011-02-24 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US20130001899A1 (en) * 2011-07-01 2013-01-03 Applied Materials, Inc. Electrostatic chuck assembly
US20150170952A1 (en) * 2013-12-18 2015-06-18 Applied Materials, Inc. Rotatable heated electrostatic chuck
CN104952779A (zh) * 2014-03-27 2015-09-30 Toto株式会社 静电吸盘
CN105990120A (zh) * 2015-02-17 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6611417B2 (en) 2001-03-22 2003-08-26 Winbond Electronics Corporation Wafer chuck system
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
KR20040070008A (ko) 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US9036326B2 (en) 2008-04-30 2015-05-19 Axcelis Technologies, Inc. Gas bearing electrostatic chuck
JP2010182763A (ja) 2009-02-04 2010-08-19 Hitachi High-Technologies Corp プラズマ処理装置
CN103988292B (zh) * 2011-12-13 2016-08-17 佳能安内华股份有限公司 电力导入装置及使用该电力导入装置的真空处理设备
TWI725067B (zh) 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
EP2015343A2 (en) * 2007-07-13 2009-01-14 Applied Materials, Inc. High temperature cathode for plasma etching
KR20090013052A (ko) * 2007-07-31 2009-02-04 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전기 척을제공하는 방법 및 장치
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
TW201101414A (en) * 2009-04-24 2011-01-01 Applied Materials Inc Substrate support having side gas outlets and methods
US20110045617A1 (en) * 2009-08-24 2011-02-24 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US20130001899A1 (en) * 2011-07-01 2013-01-03 Applied Materials, Inc. Electrostatic chuck assembly
US20150170952A1 (en) * 2013-12-18 2015-06-18 Applied Materials, Inc. Rotatable heated electrostatic chuck
KR20160098388A (ko) * 2013-12-18 2016-08-18 어플라이드 머티어리얼스, 인코포레이티드 회전가능한 가열형 정전 척
CN104952779A (zh) * 2014-03-27 2015-09-30 Toto株式会社 静电吸盘
CN105990120A (zh) * 2015-02-17 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置

Also Published As

Publication number Publication date
TWI755461B (zh) 2022-02-21
KR20190088078A (ko) 2019-07-25
EP3555910B1 (en) 2023-06-14
CN110050334B (zh) 2023-09-05
US20180174880A1 (en) 2018-06-21
TW201834114A (zh) 2018-09-16
EP3555910A2 (en) 2019-10-23
EP3555910A4 (en) 2020-07-22
US10784139B2 (en) 2020-09-22
WO2018112159A2 (en) 2018-06-21
WO2018112159A3 (en) 2018-07-26
KR102516133B1 (ko) 2023-03-29

Similar Documents

Publication Publication Date Title
KR102243410B1 (ko) 회전가능한 가열형 정전 척
CN110050334A (zh) 具有背部气源的可旋转静电吸盘
TWI809356B (zh) 具有氣孔中之減少孔徑之插塞的高功率靜電夾具以及與其相關的方法和腔室
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
JP3129419U (ja) 基板の温度を制御する装置
TWI725067B (zh) 可旋轉靜電夾盤
WO2013062804A1 (en) Thermal management of edge ring in semiconductor processing
JP2022511063A (ja) 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック
CN110191975A (zh) 用于处理基板的方法及设备
US20230162954A1 (en) High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber
CN116490964A (zh) 用于半导体加工的高热量损失加热器与静电卡盘

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant