JP2003318158A - プラズマ処理システム - Google Patents

プラズマ処理システム

Info

Publication number
JP2003318158A
JP2003318158A JP2003113544A JP2003113544A JP2003318158A JP 2003318158 A JP2003318158 A JP 2003318158A JP 2003113544 A JP2003113544 A JP 2003113544A JP 2003113544 A JP2003113544 A JP 2003113544A JP 2003318158 A JP2003318158 A JP 2003318158A
Authority
JP
Japan
Prior art keywords
plasma
workpiece
chamber
processing space
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003113544A
Other languages
English (en)
Other versions
JP4634697B2 (ja
JP2003318158A5 (ja
Inventor
James Scott Tyler
スコット タイラー ジェームズ
James D Getty
ディー. ゲッテイ ジェームズ
Thomas V Ii Bolden
ヴィ. ボールデン ザ セカンド トーマス
Robert Sergei Condrashoff
セルゲイ コンドラショフ ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nordson Corp
Original Assignee
Nordson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nordson Corp filed Critical Nordson Corp
Publication of JP2003318158A publication Critical patent/JP2003318158A/ja
Publication of JP2003318158A5 publication Critical patent/JP2003318158A5/ja
Application granted granted Critical
Publication of JP4634697B2 publication Critical patent/JP4634697B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)
  • Discharge Heating (AREA)

Abstract

(57)【要約】 【課題】 ダウンストリーム型プラズマで加工物を処理
するプラズマ処理システムを提供すること。 【解決手段】 本プラズマ処理システムの処理チャンバ
は、電力供給電極と接地板の間に一般的に配置されたプ
ラズマ・キャビティを有するチャンバ蓋、プラズマ・キ
ャビティから接地板で隔離された処理空間、および加工
物を保持するための処理空間中の基板支持物を含む。プ
ラズマ・キャビティで、直接プラズマが生成される。接
地板は、プラズマ・キャビティから処理空間中に入るこ
とを許されるプラズマから電子およびイオンを除去して
遊離基のダウンストリーム型プラズマを供給する開口と
適合される。この開口は、プラズマ・キャビティと処理
空間の間の光の見通し通路も無くする。他の態様では、
チャンバ蓋から少なくとも1つの取外し可能な側壁部を
取り除いて、または挿入して、処理チャンバの体積を調
整することができる。

Description

【発明の詳細な説明】
【0001】関連出願のクロス・リファレンスこの出願
は、2002年4月19日に出願された米国仮出願番号
60/374,010号の利益を請求し、この出願の開
示全体を参照して本明細書に組み込む。
【0002】
【発明の属する技術分野】本発明は、一般的にプラズマ
処理に関し、より詳細には、ダウンストリーム型プラズ
マ処理を行うように構成されたプラズマ処理システムに
関する。
【0003】
【従来の技術】プラズマ処理は、集積回路、電子用パッ
ケージ、およびプリント回路基板に関係する用途で使用
される加工物の表面特性を変えるために広く応用されて
いる。プラズマ処理システムは、プロセス・ガスから直
接プラズマを生成し、基板または加工物の表面を直接プ
ラズマから生成された活性種にさらして、物理的スパッ
タリング、化学支援スパッタリング、または化学反応に
よって表面原子を除去するように構成される。物理的ま
たは化学的作用を使用して、密着のような特性を改善す
るように表面を条件づけし、プロセス材料の異質な表面
層を選択的に除去し、または表面から不要な汚染物質を
除去することができる。電子用パッケージでは、例え
ば、層間剥離不良およびボンド不良を無くし、ワイヤ・
ボンド強度を改善し、ボイドのないアンダーフィルを保
証し、酸化物を除去し、ダイ付着を強化し、および、封
止の密着を改善するために、表面活性化および/または
表面清浄度を高めるように、プラズマ処理が使用され
る。
【0004】プラズマ処理システムは、インライン・シ
ステムおよびクラスタ・システム、または、連続するプ
ラズマ照射または処理サイクルで加工物のグループが処
理されるバッチ・プロセスに組み込むことができる。加
工物は様々な方法で供給することができる。この方法に
は、マガジンでの受渡し、コンベヤー搬送システムによ
る個々の受渡し、または処理チャンバ中への手操作挿入
がある。また、プラズマ処理システムは、プラズマ処理
実施のためにプロセス・チャンバに入れたり出したりす
る加工物取り替えを調整する自動ロボット・マニピュレ
ータを備えることができる。
【0005】従来のプラズマ処理システムは、個々の加
工物の表面全体にわたって適切なプロセス一様性を実現
することができなかった。プラズマ密度が表面全体にわ
たって実質的に一様であるように、加工物の表面の全て
の場所でプラズマ密度を精密に正確に制御しなければな
らない。プラズマの一様性を制御するためのクリティカ
ルなパラメータに、励起電力およびプロセス・ガス分散
の空間的な一様性がある。加工物の表面でプラズマ密度
が一様でないと、プロセスの確実性が落ち、プロセス歩
留りが低下する。加工物間の一様性を達成するために、
活性種の流量が加工物の表面全体にわたって空間的に一
様であるように、プロセス・ガスを一様に分散させ、励
起電力で一様にイオン化しなければならない。
【0006】従来のプラズマ処理システムは、さらに、
加工物の連続するバッチ間でプラズマ処理の適切な再現
性を実現することができなかった。バッチ間再現性は、
連続する加工物が実質的に全く同じプラズマ条件にさら
されるように、プロセス変数およびパラメータを精密に
制御することに依存している。さらに、従来のプラズマ
処理システムは、自動化処理ラインに適している処理能
力、すなわち製造の要求条件で加工物を高速に処理する
ことができない。システム処理能力およびプラズマ処理
の一様性を最大限にして、製造コストを低減しなければ
ならない。
【0007】従来のインライン・プラズマ処理システム
は、また、イオン、電子、および電磁スペクトルの少な
くとも可視領域の光が実質的に無いダウンストリーム型
プラズマを生成する能力にも欠けている。よく知られて
いるように、直接プラズマは、正味電荷を有するイオン
および電子、ソース・ガス分子、および中性の遊離基を
含んだ多数の異なる種の組合せである。遊離基は、ほと
んどイオン化しているが電子という完全な補体を保持し
ているので、正にも負にも帯電していないガス分子であ
る。加工物は、全てのプラズマ種を含有する直接プラズ
マか、主に遊離基を含むダウンストリーム型プラズマか
で処理することができる。直接プラズマを用いた加工物
の処理では、イオンおよび電子のボンバードによる物理
的な作用と遊離基の表面相互作用で生じる化学的な作用
の両方で、処理が促進される。他方で、ダウンストリー
ム型プラズマによる処理は主に化学作用を含む。
【0008】従来のプラズマ処理システムは、一般に、
固定された寸法のプラズマ・チャンバと、対向処理電極
間の固定位置に加工物を保持するプラズマ・チャンバ中
の基板支持物とを含む。加工物は固定位置に位置づけさ
れるので、プラズマ処理すべき表面は、処理電極に対し
て同様に間隔を空けて配置される。固定位置は、特定の
厚さの加工物に対して効果的なプラズマ処理を行うのに
効果的な間隔を実現するように選ばれる。当然の結果と
して、システムで処理される加工物の厚さが変化すると
き、表面の位置は最早所望の固定位置にないので、プラ
ズマ処理の効率が低下する可能性があるということにな
る。したがって、従来のプラズマ処理システムは、加工
物の厚さの変化に対応するように十分に必要な備え付け
がなされていない。
【0009】
【発明が解決しようとする課題】したがって、プラズマ
・チャンバで加工物を処理するためにダウンストリーム
型プラズマを供給することができ、かつ効果的な処理効
率を維持しながら異なる厚さの加工物に対応することが
できるインライン・プラズマ処理システムが必要とされ
ている。
【0010】
【課題を解決するための手段】本発明は、ダウンストリ
ーム型プラズマ処理を行うことができるプラズマ処理シ
ステムを提供して、従来技術に関連したこれらの問題お
よび他の問題に対処する。このプラズマ処理システム
は、処理空間、チャンバ蓋、およびチャンバ蓋中に画定
されたプラズマ・キャビティを含む真空チャンバと、処
理空間中に位置づけされた加工物ホルダーと、真空チャ
ンバと流体連通状態で結合された真空源と、真空チャン
バと流体連通状態で結合されたプロセス・ガス供給源
と、第1のプラズマ励起源とを含む。プラズマ・キャビ
ティと処理空間は流体連通状態である。プロセス・ガス
供給源は少なくともプラズマ・キャビティにプロセス・
ガスを供給することができ、真空源は処理空間およびプ
ラズマ・キャビティを排気することができ、さらに第1
のプラズマ励起源はプラズマ・キャビティ中のプロセス
・ガスを励起してプラズマを生成することができる。プ
ラズマ励起源はさらにプラズマ・キャビティと処理空間
の間に位置づけされた接地板を含む。この接地板は、プ
ラズマ・キャビティから処理空間へのイオンおよび電子
のような荷電種の移動を妨げることができる、または少
なくとも実質的に減少させることができる複数の開口を
含む。しかし、この開口は、プラズマ・キャビティから
処理空間への遊離基の移動を選択的に可能にする。本発
明のこの態様に従って、荷電粒子および光子の無い、ま
たは実質的に無いダウンストリーム型プラズマが、加工
物のところに生成されて、そうでなければ荷電粒子で行
われる物理的作用の無い状態で、基の化学作用でプラズ
マ処理を行うことができる。
【0011】本発明の原理に従って、加工物をプラズマ
で処理する方法が提供される。この方法は、プラズマ処
理システムの処理空間中に加工物を配置すること、荷電
粒子および遊離基を含む直接プラズマを生成すること、
直接プラズマから荷電種子をろ過して遊離基を含むダウ
ンストリーム型プラズマを生成すること、および処理空
間の加工物をダウンストリーム型プラズマの遊離基にさ
らすことを含む。
【0012】本発明の他の態様に従って、プラズマ処理
システムは、チャンバ・ベース、および処理空間を画定
する閉じた位置と処理空間に加工物を入れたり出したり
移動するための開いた位置との間でチャンバ・ベースに
対して動かすことができるチャンバ蓋を有する真空チャ
ンバと、この真空チャンバと流体連通状態で結合された
真空源と、処理空間中に位置づけされた加工物ホルダー
と、真空チャンバと流体連通状態にあるプロセス・ガス
供給源と、プラズマ励起源とを含む。プロセス・ガス供
給源はプロセス・ガスを処理空間に供給することがで
き、真空源は処理空間を排気することができ、そして、
プラズマ励起源はプロセス・ガスから生成された処理空
間のプラズマを供給するように動作可能である。チャン
バ蓋はさらに、真空チャンバの垂直寸法を変えるために
チャンバ蓋から取り除くことができる第1の側壁部を含
む。本発明のこの態様に従って、処理電極から予測可能
な距離に加工物の露出表面を置いて基板厚さを補償する
ことで、異なる厚さの加工物に対応するように、チャン
バの垂直寸法を変えることができる。
【0013】本発明の原理に従って、チャンバ蓋を有す
る真空チャンバ中の処理空間で加工物をプラズマ処理す
る方法が提供される。この方法は、加工物を処理空間に
配置すること、および、加工物の露出表面からチャンバ
蓋中に位置づけされた処理電極までの距離を加工物の厚
さに基づいて変えるためにチャンバ蓋の体積を変えるこ
とを含む。本発明のこれらおよび他の目的および利点
は、添付の図面およびそれの説明からいっそう明らかに
なるであろう。
【0014】
【発明の実施の形態】この明細書に組み込まれその一部
を構成する添付の図面は、本発明の実施形態を図示し、
上で示した本発明の一般的な説明および以下に示す詳細
な説明と共に、本発明の原理を説明するのに役立つ。
【0015】本発明は、その原理および目的に従って、
加工物をプラズマで処理するための装置および方法を提
供する。本発明は、一様な高密度プラズマ、高速な排気
とベントのサイクル、再現性のある処理条件、および簡
単化された材料取り扱いを実現するように構成されたプ
ラズマ処理システムを提供する。有利なことに、このシ
ステムでは、連続する加工物の各々を処理するために必
要なサイクル時間を最小にする制御アルゴリズムを使用
しながら、処理空間中に一様な高密度プラズマを起動し
かつ持続させるのに必要な励起パワーが減少する。
【0016】本発明の原理に従ったプラズマ処理システ
ム10を図1、2A〜2Cおよび3に示す。図1を参照
すると、プラズマ処理システム10は、処理チャンバ1
2、ローディング・ステーション20、および出口ステ
ーション22を含み、これ等は、機器筺体26の一番上
の実質的に平らで機械的に安定な表面24に位置してい
る。処理チャンバ12は、蝶番アセンブリ16でチャン
バ・ベース18に蝶番式に動くように連結されたチャン
バ蓋14を含む。チャンバ蓋14は、図1および図2A
に示すような開いた位置と図2Bに示すような閉じた位
置のどちらかに選択的に位置づけすることができる。チ
ャンバ・ベース18およびチャンバ蓋14は、アルミニ
ウム合金またはステンレス鋼のような高真空用途に適し
た電気伝導性材料で形成するのが好ましい。
【0017】チャンバ蓋14は、ドーム型天井28、お
よび平らな縁32で取り囲まれた一体型側壁30を含
む。ビューポート開口38が、ビューポート34を保持
するために、天井28に設けられている。図2Cに最も
適切に示すように、ビューポート34は、フレーム35
および留め具36でチャンバ蓋14に取り付けられた実
質的に平らな窓ガラスである。ビューポート開口38に
外接する溝42の中にOリング40が収容される。ビュ
ーポート34は、Oリング40に圧縮するように係合し
て、空密封止を形成する。この場合、封止力は、処理チ
ャンバ12の内部と外部の間の圧力差と留め具36でひ
とまとめにして与えられる。ビューポート34は、低ス
パッタ係数を有し気体不浸透性でありさらに光学波長に
対して広い透過範囲を有する誘電体セラミックス、例え
ば石英で作られる。Oリング40は、Viton(登録
商標)のようなエラストマーで形成するのが好ましい。
【0018】チャンバ・ベース18は、平らなリップ4
8で取り囲まれた側壁46と一体化している床壁44を
含む。リップ48は、電気伝導経路およびチャンバ蓋1
4とチャンバ・ベース18の間の実質的な空密封止を実
現する導電性弾性封止部材すなわちOリング51を収容
するための周囲溝50を含む。溝50およびOリング5
1の寸法は、空密封止を作るように選ばれる。理解でき
ることであるが、Oリング溝50、したがってOリング
51は、本発明の精神および範囲から逸脱することな
く、チャンバ蓋14かチャンバ・ベース18かいずれか
に位置づけすることができる。理解されることである
が、チャンバ蓋14に位置づけされる場合、周囲の環境
からの粒子はOリング51に比較的付着し難く、Oリン
グ51の封止能力を犠牲にすることがなさそうである。
【0019】Oリング51は、導電性エラストマーのガ
スケットであり、例えばシリコーン中の銀およびアルミ
ニウムの粉末のように、エラストマー結合剤中に導電性
充填粉末が充満されている複合材料で形成されるのが好
ましい。例示のOリング51は、Parker Han
nifin Corp.(Cleveland、OH)
の事業部であるEMI Shielding Prod
uctsによって商品名Cho−seal(登録商標)
で製造販売されている導電性複合材料で形成される。
【0020】他の態様では、チャンバ・ベース18は、
さらに、部品すなわち加工物56を収容し支持するよう
に構成された加工物ホルダーすなわち基板支持物64を
含む。一般に、加工物56は、長方形の平面構造であ
り、所定の厚さの対向する側縁部58、59、前縁部6
0、および後縁部62を有する周囲を含む。対向する側
縁部58、59は、加工物56の長手方向の軸に対して
垂直に測定される所定の最大横幅だけ離れている。加工
物56は、ボール・グリッド・アレイ(BGA)または
金属リード・フレーム、Auerボートに保持された分
離されたBGA、またはマルチチップ電子モジュールを
保持するパレット、集積回路チップなどのような細片型
部品でもよい。また、加工物56は、シリコン、ガリウ
ム砒素、および当業者がよく知っている他の半導体材料
で形成されたディスク状半導体ウェーハすなわち基板で
もよいし、また、メタライゼーション、コンタクト、絶
縁層などのパターン形成された領域を含んでいてもよ
い。
【0021】図2Cに最も適切に示すように、基板支持
物64は、実質的な平面支持台68から垂直に延びる対
向する側レール66a、66bを備える。側レール66
aは、加工物56の側縁部58と59の間の最大幅が収
容されるように、支持台68の長手方向の軸に沿って側
レール66bに対して間隔を空けた関係にある。便宜
上、側レール66aについては、側レール66bが同一
構造であることを理解した上で以下で詳細に説明する。
側レール66aは、図3に最適に示すように、リップ4
8を含む水平面の上に突出し、さらに、基板支持物64
の長手方向の軸に平行に延びる細長いチャネル72を含
んでいる。チャネル72は、加工物56の側縁部59を
滑動可能に収容するような大きさのU字形断面プロファ
イルを有する。チャネル72の対向する先端部は、図3
に最適に示すようにフレア・リップ74を含み、このフ
レア・リップ74は、ローディング中に加工物56の側
縁部59を物理的につかまえる。限定ではなく例とし
て、処理チャンバ12および基板支持物64は、2.
7”(幅)×9.25”(長さ)×3/8”(厚さ)の
最大寸法または6”×12”×1”の最大寸法を有する
加工物56を受け入れるように構成することができる。
他の実施形態では、処理チャンバ12は、12”×1
2”の最大平面寸法を有する加工物56を受け入れるよ
うに構成することができる。
【0022】図1を参照すると、ローディング・ステー
ション20および出口ステーション22は、処理チャン
バ12のそれぞれの対向する端部のすぐ近くにあり、処
理チャンバ12に加工物56、56’を入れたり出した
り往復運動で運ぶように構成されている。ローディング
・ステーション20は、実質的に平面の支持台76およ
び対向するローディング側レール78aおよび78bを
含む。ローディング側レール78aは、加工物56の最
大幅が収容されるように支持台76の長手方向の軸に沿
ってローディング側レール78bに対して間隔を空けた
関係にある。便宜上、側レール78aについては、側レ
ール78bが実質的に全く同じであるということを理解
した上で以下で詳細に説明する。ローディング側レール
78aは、リップ48を含む水平面の上に突出し、さら
に細長いチャネル82を含んでいる。このチャネル82
は、加工物56の1つの対向する側縁部58または59
を滑動可能に収容するような大きさに構成されたU字形
断面プロファイルを有する。チャネル82の対向する先
端部はフレア・リップ80を含み、このフレア・リップ
80は、加工物56の側縁部58、59を物理的につか
まえる。支持柱84は支持台76の底面から表面24に
延びる。
【0023】出口ステーション22は、ローディング・
ステーション20と同様に構成される。出口ステーショ
ン22は、対向するアンローディング側レール86a、
86bを含み、このアンローディング側レールは平面支
持台88から上方外側に延びている。便宜上、側レール
86aについては、側レール86bが全く同じ構造であ
るということを理解した上で以下で詳細に説明する。側
レール86aは、縁部48を含む水平面の上に突出し、
さらに長手方向のチャネル90を含んでいる。チャネル
90は、処理された加工物56’の2つの周辺縁部5
8’、59’の1つを滑動可能に受け入れるような大き
さのU字形断面プロファイルを有する。チャネル90の
対向する先端部はフレア・リップ91を含み、このフレ
ア・リップ91は、アンローディング中に処理された加
工物56’の側縁部58’、59’を物理的につかまえ
るのに役立つ。支持柱92は支持台88の底面から表面
24まで延びる。
【0024】プラズマ処理システム10は、さらに、ロ
ーディング・ステーション20および出口ステーション
22に取り付けられたピンチ・ホイール99、および位
置決めレバー94を含む。ピンチ・ホイール99は、加
工物56または56’を位置決めする際に微調整を行う
ように動作可能である。レバー94は、機器筺体26の
上面24に画定されたスロット96の長さに沿って移動
し、さらに垂直方向に平行移動するように動作可能であ
る。駆動機構(図示しない)は、レバー94に取り付け
られ、スロット96中でアーム94を垂直方向および長
手方向に動かすように動作可能である。レバー94は、
プラズマ処理サイクル中、処理チャンバ12の完全に外
に位置づけされている。
【0025】位置決めレバー94は、さらに、第1の指
98aを有する棒97を含む。この第1の指98aは、
ローディング側レール78a、bの間に保持された加工
物56の後方縁部62と選択的に接し、第2の指98b
は、側レール66a、bの間に保持された第2の加工物
56の後方縁部62と選択的に接する。理解できること
であるが、指98a、bは棒97に対して弾性的に片寄
らせることができ、さらに、指98a、bは、例えば側
レールの組と位置合せ不良の加工物によって生じる位置
決めレバー94の直線移動時の抵抗を検出するセンサを
含むことができる。
【0026】加工物ローディング動作中に、加工物56
は、自動搬送システム(図示しない)で引き渡され、ロ
ーディング・ステーション20のローディング側レール
78a、bに位置づけされる。ローディング・ステーシ
ョン20のピンチ・ホイール99を使用して、加工物5
6を短い距離動かして適切に位置づけする。チャンバ蓋
14が開いた後で、指98aが後方縁部62に係合し加
工物56をローディング側レール78a、bに沿って基
板支持物64の方に押すように、位置決めレバー94は
初期位置から下げられ、直線的に動かされる。加工物5
6の前縁部60は、ローディング側レール78a、bお
よび側レール66a、bの間のギャップを通り抜ける。
加工物56の対向する側縁部58、59を、側レール6
6a、bが滑動可能に収容する。その後、加工物56が
基板支持物64に適切かつ正確に位置づけされるまで、
位置決めレバー94は加工物56を押し続ける。好まし
くは、加工物56の中心は、処理チャンバ12の中心垂
直軸すなわち中心線と共通の軸を持つように位置づけさ
れる。それから、位置決めレバー94が初期位置に後退
するときに、指98bが加工物56の前縁部をクリアー
するように位置決めレバー94は垂直方向に平行移動す
る。
【0027】加工物ローディング作業中に処理された加
工物56’が基板支持物64にある場合は、指98bは
後方縁部62’に係合し、位置決めレバー94が処理さ
れた加工物56’を出口ステーション22の方に取り除
く。処理された加工物56’の前縁部60’が処理チャ
ンバ12と出口ステーション22の間のギャップを横切
る。アンローディング側レール86a、bが処理された
加工物56’の側縁部58’、59’をつかまえる。継
続する直線運動で、処理された加工物56’は処理チャ
ンバ12から完全に取り除かれる。出口ステーション2
2のピンチ・ホイール99を使用して加工物56’を短
い距離動かして、次の処理ステーションへの移動に備え
て適切に位置づけする。
【0028】チャンバ蓋14が、図2Aに最も適切に示
すような開いた位置と図2Bに最も適切に示すような閉
じた位置の間で、チャンバ・ベース18に対して選択的
に蝶番式に旋回するように、蝶番アセンブリ16は構成
されている。蝶番アセンブリ16は図1に最も適切に示
すように少なくとも2個のブラケット100を含み、こ
れらのブラケットは、側壁46の真空でない側に沿って
間隔を空けた関係で配置されている。チャンバ蓋14が
閉じた位置に突き出すとき、例えば図2Bに示すよう
に、チャンバ蓋14とチャンバ・ベース18が空密処理
空間102の境界となる。
【0029】各ブラケット100は、留め具108で側
壁46の真空でない側に取り付けられたV字形ブレース
104およびナブ106を含む。各ブレース104は、
ブレース104の湾曲部近くの開口部112およびナブ
106の同軸の開口部124の中に収容された蝶番ピン
110で支えられている。図1に示すように、両方のブ
ラケット100が蝶番ピン110を共有している。図2
Aに戻って、ブレース104の一端は、チャンバ蓋14
の側壁30の真空でない側につながっている。各ブレー
ス104の第2の端は、両方のブレース104が同じく
共有する連結棒116を収容する開口部114を含む。
【0030】連結棒116がさらに棒端部118に取り
付けられ、この棒端部118は、双方向空気シリンダす
なわち蓋アクチュエータ122のピストン棒120の一
方の端でネジ形式で支えられている。棒端部118は、
さらに、連結棒116をその中に滑動可能に収容する大
きさに作られた内径を有する開口部(図示しないが、開
口部114と同様で、これと同一線上にある)を含む。
ピストン棒120は、ブレース104が蝶番ピン110
のまわりを旋回して開いた位置と閉じた位置のどちらか
にチャンバ蓋14を突き出すように、往復直線垂直運動
を行うように構成されている。図2Cに示すように、蓋
アクチュエータ122の対向する端部は取付けブロック
126で機器筺体26内の構造支持物(図示しない)に
取り付けられている。
【0031】図2Bを参照すると、本発明の一態様で、
ナブ106の開口部124中にオブラウンド・ベアリン
グ128が滑動的に収容される。オブラウンド・ベアリ
ング128は、開口部124中に摩擦嵌合するように選
ばれた外径の環状外面および蝶番ピン110を収容する
ような大きさに作られた内部の穴130を有する。穴1
30は、穴130の長手方向の軸に対して直角に見たと
きに、垂直方向に長軸を有する実質的に楕円形の断面プ
ロファイルを有する。図2Aに示すように、チャンバ蓋
14が開いた位置にあるとき、蝶番ピン110の一端の
長さは穴130の下の内面に接触する。チャンバ蓋14
が蓋アクチュエータ122によって旋回するとき、蝶番
ピン110はそれの長手方向の軸のまわりを回転する。
回転中に、蝶番ピン110の外面は穴130の下の内面
と接触したままである。図2Bに示すように、リップ3
2がOリング51の表面に接触するとき、蓋アクチュエ
ータ122は延び続けるので、チャンバ蓋14は下方に
動いてOリング51を押し縮める。オブランド・ベアリ
ング128があるために、蝶番ピン110は穴130の
中で自由に垂直上方に平行移動する。
【0032】図2Cを参照すると、チャンバ蓋14は閉
じた位置にあり、チャンバ蓋14の内周面およびチャン
バ・ベース18が処理空間102の境界となっている。
真空封止は、チャンバ・ベース18とチャンバ蓋14の
間のOリング51のいっそうの圧縮で強められる。Oリ
ング51の追加の圧縮が、チャンバ蓋14の外側に作用
する大気圧と処理チャンバ12内の真空の間の圧力差に
よってもたらされる。この圧力差は、チャンバ蓋14を
垂直下方にチャンバ・ベース18の方に動かす力を加え
る。オブランド・ベアリング128が存在するために、
蝶番ピン110は垂直方向に最小の横運動で平行移動す
る。
【0033】円形断面プロファイルの穴を有する従来の
ベアリングに比べると、オブランド・ベアリング128
内の穴130によって、蝶番ピン110に追加の垂直方
向自由度が与えられる。チャンバ蓋14は、Oリング5
1を圧縮する力に応答して自由に垂直方向に動く。その
結果として、リップ32とOリング51の間の空密封止
は、溝50の周囲で一様になる。好ましい実施形態で
は、オブランド・ベアリング128の存在することで、
蝶番ピン110にほぼ50ミルの垂直方向の移動が与え
られる。
【0034】圧力計52が、配管53を介して側壁46
に設けられた開口部に接続される。圧力計52は、処理
空間102の中の真空圧力を感知するように動作可能で
あり、圧力帰還信号を供給する。例示の圧力計52は、
MKS Instruments(Andover、M
A)で製造されたBaratron(登録商標)Cap
acitance Manometerのようなキャパ
シタンス・マノメータである。ブリード・バルブ54
が、配管55を介して側壁46に設けられた他の開口部
に接続される。ブリード・バルブ54は、処理チャンバ
12に周囲の空気または室素のような供給ガスを放出す
るように動作可能である。
【0035】図3を参照すると、プラズマ処理システム
10は、チャンバ・ベース18の底壁44の中心に位置
づけられた大きな排気口136を通して真空排気系13
4と流体連通になるように接続されている。真空排気系
134は、円錐状縮小ニップル138、真空弁140、
排気真空導管(図示しない)、および真空ポンプ144
を含む。
【0036】円錐状縮小ニップル138の対向する端部
には、第1の真空フランジ146および第2の真空フラ
ンジ166が付いている。第1の真空フランジ146
は、Oリング150が外接する網つき芯合せ環148お
よび複数のバルクヘッド・クランプ152によって排気
口136に接続される。バルクヘッド・クランプ152
は、第1の真空フランジ146の周囲に対象的に配置さ
れる。各バルクヘッド・クランプ152は、第1の真空
フランジ146の相補的な下面に係合するように構成さ
れたテーパ部分154と、留め具160を取外し可能に
収容する穴(図示しない)をさらに含むブロック部15
6とを有する。好ましくは、留め具160は、底壁44
の相補的な内部ネジ山(図示しない)を有する開口部に
取り付けることができるネジ込みボルトである。空密封
止を作るために、Oリング150を一様に圧縮するよう
にパターン化された順序で予め選ばれたトルクまで、留
め具160を締め付ける。
【0037】真空弁140は、真空固定具164によっ
て円錐状縮小ニップル138に付いている第2の真空フ
ランジ166と流体連通になるように接続された上の真
空フランジ162を支持する。真空固定具164は、蝶
ナット・クロージャ170を有する取外し可能なクラム
シェル・クランプ168および貫通穴芯合せ環172を
備える。蝶ナット・クロージャ170が締め付けられる
とき、芯合せ環172に保持されるOリング174が圧
縮されて空密封止を作る。また、真空弁140は、さら
に、真空ポンプ144と流体連通になるように接続され
る。
【0038】真空ポンプ144は、真空技術分野の当業
者には明らかであるように、1つまたは複数の真空ポン
プを備えることができる。好ましい真空ポンプ144
は、例えば、Alcatel Vacuum Tech
nologies Inc.(Fremont、CA)
で製造されている型の単一回転翼型真空ポンプであり、
これは、毎分約11立方フィートの排気速度を有し、処
理チャンバ12のコンダクタンスが高いために、約6秒
以下で処理空間102を約200mTorrの真空圧力
まで排気することができる。他の真空ポンプ144とし
て、乾式ポンプおよびターボ分子ポンプがある。
【0039】本発明の他の態様で、真空分散バッフル1
80がチャンバ・ベース18の内側の肩部178に位置
づけされている。真空分散バッフル180は、複数のオ
リフィス184による穴が開いている平らな細長い板1
82である。オリフィス184は、圧力差をなくするよ
うに真空排気系134の入口の方に向かうプロセス・ガ
スの流れを制限する。その結果として、加工物56の全
処理表面はプラズマに一様にさらされ、同時に、プロセ
ス・ガスおよびプラズマ処理動作中にスパッタされた汚
染物質種の高速排出を可能にする。また、真空分散バッ
フル180は、真空ポンプ144へのガスの流れで基板
支持物64上の加工物56の位置が乱されることのない
ようにする。
【0040】好ましくは、真空分散バッフル180は、
例えば機械加工可能なセラミックスのような、ガス放出
の可能性が最小である電気絶縁材料で形成される。適切
な械加工可能セラミックスには、アルミニウム酸化物ま
たはMykroy/Mycalex(登録商標)または
Macor(登録商標)のようなガラス接着マイカ複合
物がある。
【0041】本発明の一態様では、チャンバ蓋14にガ
ス分配システムが一体化され、このガス分配システム
は、プロセス・ガスの流れを加工物56の表面に対象的
かつ一様に分配するように構成されている。特に、チャ
ンバ蓋14の天井28は、埋め込みキャビティ186、
プロセス・ガス入口190、および複数の開口192を
含む。図2Cに最も適切に示すように、ガス入口190
はチャンバ蓋14に位置づけされ、さらに、ガス・ライ
ン194を介して、プロセス・ガスを処理空間102に
供給するガス・マニホルド308(図4)に結合されて
いる。図3に最も適切に示すように、天井28の真空側
には、キャビティ186から処理空間102中にプロセ
ス・ガスを注入するための開口192がある。好ましく
は、プロセス・ガスが加工物56の表面全体に一様に流
れて、プラズマ均一性の向上に寄与するように、開口1
92は、処理チャンバ12の長手方向の軸のまわりに2
次元配列で対照的に分布される。
【0042】他の態様では、チャンバ・ベース18はさ
らに電力分配システムを含み、この電力分配システム
は、無線周波(RF)発生器302(図4)のようなプ
ラズマ励起源から電力を伝達して、処理空間102に閉
じ込められたプロセス・ガスをイオン化しかつ解離させ
る。電力分配システムは、RF発生器302に動作可能
に接続された電力分配棒198、一対の電力フィードス
ルー200a、b、下の電極202、および基板支持物
64を含む。RF発生器302は、フィードスルー20
0a、bによって基板支持物64に動作可能に接続され
ている。この基板支持物64は、励起エネルギーを処理
チャンバ12中のプロセス・ガスと容量的に結合させる
電力供給電極として作用して、処理空間102でプラズ
マを引き起こし、さらに持続する。チャンバ蓋14およ
びチャンバ・ベース18が、ひとまとめで電力を供給さ
れない接地電極を形成する。
【0043】チャンバ・ベース18の床壁44には、さ
らに、電力フィードスルー200a、bを収容する2個
の開口204がある。各開口204の中心の長手方向軸
のまわりに、Oリング210を収容するための円形の溝
208が同心で形成されている。電力フィードスルー2
00a、bの各々は、遮蔽絶縁体座金214で同軸的に
囲繞された電気連結棒212、チャンバ絶縁体座金21
6、および下部絶縁体座金218を含む。好ましくは、
座金214、216、218は、石英またはアルミナの
ようなガス不浸透性セラミック誘電体で構成され、さら
に、各連結棒は、銅、アルミニウム、またはそれらの合
金のような電気伝導体で形成される。電力フィードスル
ー200a、bは、処理チャンバ12から電気的に分離
される。
【0044】電気連結棒212は、フランジ付き頭22
2および対向したネジ切り端部226を含む。フランジ
付き頭222は、電気導通および下方への動きを阻止す
る機械的な固定を行うために、下部電極202の上面に
形成された相補的な凹部228の中に収容される。連結
棒212は、遮蔽絶縁体座金214、チャンバ絶縁体座
金216および下部絶縁体座金218の中心の穴を通っ
て下方に延びる。ネジ切り端部226は、励起電源との
接続のために底壁44を越えて突出する。
【0045】下部絶縁体座金218は、より小さな第2
の外径の環状上部234と連続する第1の外径の環状下
部232を含む。上部234が開口204の中に収容さ
れ、その結果、下部232の上面は、床壁44の真空で
ない表面と空密封止を行うようにOリング210に接す
るようになる。穴230の切頭円錐部236はOリング
238を収容するように構成されている。切頭円錐部2
36は、Oリング238が留め具239で圧縮されて連
結棒212の周囲と下部絶縁体座金218の間に真空封
止を実現することができるように、そのような寸法に作
られ、また構成される。
【0046】遮蔽絶縁体座金214は、下部電極202
の下の表面と真空分散バッフル180の上の表面の間に
置かれる。遮蔽絶縁体座金214は、より大きな第2の
外径の環状上部244と一体の第1の直径の環状下部2
42を含む。上部244は真空分散バッフル180に接
し、下部242は下方に開口の中に突き出る。
【0047】チャンバ絶縁体座金216は、チャンバ・
ベース18の内部下面と真空分散バッフル180の下の
表面の間に置かれる。チャンバ絶縁体座金214は、対
向する平行な表面248、250を有する。表面248
は、下部絶縁体座金218の上部234の長さ全体に適
合するように構成された第1の凹部を含む。対向する表
面250は、チャンバ絶縁体座金216の下部242の
長さを収容する異なる直径の第2の凹部を含む。
【0048】留め具239は、連結棒212のネジ切り
端部226と対になるように構成されたネジ切り穴を有
する。留め具239を締め付けるとき、下部絶縁体座金
218の上の表面が圧縮するようにOリング210に係
合し、Oリング210に逆らって上方に動いて、チャン
バ・ベース18の外面と下部絶縁体座金218の間に空
密封止を作る。留め具239の上の表面は切頭円錐テー
パ234に配置されたOリング238に圧縮するように
係合して、連結棒212の周囲と下部絶縁体座金218
の内径の間に空密封止を作る。
【0049】電力分配棒198は、連結棒212のネジ
切り端部224に2個の留め具256、258で取り付
けられる。下部電極202の上の表面は、電気導通を与
えるよう密接接触で基板支持物64の下の表面に係合す
る。したがって、電力分配棒198に供給された電力は
連結棒212を介して基板支持物64に伝達され、基板
支持物64自体が電力供給電極の一部として機能する。
下部電極202および基板支持物64は、アルミニウム
のような電気伝導性材料で形成されるのが好ましい。他
の実施形態では、基板支持物64だけで電力供給電極を
構成するように、下部電極202はセラミックスで構成
することができる。
【0050】上で詳細に説明した真空分散バッフル18
0は、また、下部電極202の下側の面とチャンバ・ベ
ース18の間のRF電界強度を減少させるプラズマ遮蔽
としても機能する。その結果として、基板支持物64で
保持される加工物56の表面近くでプラズマが強くな
り、各加工物56のプラズマ処理を行う電力および時間
が最小になる。さらに、電力供給電極および接地電極の
構成によって、基板支持物64の上にある加工物56に
対して実質的に垂直な電界が生成されるので、イオン軌
道は加工物56の表面の法線に対して実質的に垂直にな
る。
【0051】加工物56は、チャンバ蓋14の天井28
と支持台68の上面の中間の面内に実質的に垂直位置を
有するように、処理チャンバ12内に位置づけされるの
が有利である。知られているプラズマ処理システムに比
べて、排気速度を高くするためにチャンバ12の体積を
最小化することおよび加工物56を精密に位置決めする
ことによって、低い電力レベルで高速プラズマ処理が可
能になる。
【0052】図4を参照すると、プラズマ処理システム
10は、ガス・フロー制御300および処理チャンバ1
2に接続されたRF発生器302を含む。処理システム
制御304は、プラズマ処理システム10内の様々な装
置から入力信号を受け取り、ガス・フロー制御300お
よびRF発生器302を動作させる出力信号を供給す
る。制御304は、プログラム可能グラフィックス・ユ
ーザ・インタフェース306にも接続されている。この
インタフェースは、例えば、プッシュボタン、スイッ
チ、その他のユーザ入力装置を提供し、さらに、出力装
置、例えば光および表示画面を有し、それによって、ユ
ーザはプラズマ処理システム10の動作の状態を追跡
し、その動作を制御することができるようになる。制御
304は、論理能力と演算能力の両方を有する任意の型
のマイクロプロセッサをベースにした制御であることが
できる。例えば、Koyoで製造され、Automat
ionDirect of Cummings、Geo
rgiaから市販されるModel Direct L
ogic205のようなプログラム可能論理制御器であ
る。さらに、グラフィックス・ユーザ・インタフェース
306は、同じく、Direct Logic205用
にKoyoで製造され、同じくAutomation
Directから市販されている。
【0053】通常、処理チャンバ12内のプラズマ処理
動作中に、複数のプロセス・ガスがマニホルド308の
中で混合される。例示のプロセス・ガスには、Ar、H
e、CO2、N2、O2、CF4、SF6、H2、およびそれ
らの混合物がある。各プロセス・ガスは、ガス供給源3
10、マス・フロー・コントローラ312、隔離弁31
4、および電磁弁315で構成される独立したガス供給
システム309を有する。2つのガス、例えばArとO
2が使用される場合には、ガス供給源310a、310
b、マス・フロー・コントローラ312a、312b、
隔離弁314a、314b、および電磁弁315a、3
15bで構成される2個の独立したガス供給システム3
09a、309bがあってもよい。理解されるであろう
が、任意の数の追加のガス供給309nをマニホルド3
08に接続することができ、各追加のガスはそれ自体の
ガス供給源310n、マス・フロー・コントローラ31
2n、隔離弁314n、および電磁弁315nを有す
る。
【0054】独立したガス供給に加えて、ガス・フロー
制御300は、真空ポンプ144、真空弁140、電磁
弁341および圧力計52を含む。プラズマ処理システ
ム10は、処理パラメータの変化に対して非常に敏感で
ある。したがって、圧力計52はチャンバ12のすぐ近
傍に配置され、さらに、大きな直径が有利であるチュー
ブ55、例えば直径0.500インチのチューブでチャ
ンバ12に流動体的に接続される。ガス・フロー制御3
00は、さらに、プラズマ処理サイクルの終わりに処理
チャンバ12を大気圧に戻すためのブリード・バルブ5
4およびこれの電磁弁357を含む。再び、減圧プロセ
スを最小限にするために、ブリード・バルブ54は通常
処理チャンバ12のすぐ近傍にあり、それとの比較的大
きな流体連通開口を有する。したがって、ブリード・バ
ルブ54は、ほぼ1秒で処理チャンバ12を大気圧に戻
すことができる。
【0055】RF発生器302は、RF電力をL回路同
調器すなわちインピーダンス整合装置320、例えば一
対の可変空気コンデンサに供給するRF電源318で構
成されている。RF電源318は、約40KHzから約
13.56MHzの周波数で、好ましくは約13.56
MHzで、および約0ワットから約600ワットの電力
で、好ましくは約60ワットから約400ワットまでの
電力で動作する。可変空気コンデンサ320、324か
らのPF電力は、出力328で処理チャンバ12内の基
板支持物64(図3)に加えられる。位相コンデンサ3
20はモータ321に接続された可動極板を含み、さら
に、制御304の入力323上でアナログ帰還信号を供
給する位相制御322を有する。振幅コンデンサ324
はモータ325に接続された可動極板を有し、さらに、
制御304の入力327上でアナログ帰還信号を供給す
る位相制御326を有する。制御304は、知られてい
るPID制御ループを利用して出力328、329上で
アナログ命令信号をそれぞれのモータ321、325に
供給し、知られているやり方で可変空気コンデンサ32
0、324の極板を動かす。
【0056】本発明のPID制御ループは、境界条件で
の性能を改善するように可変利得を自動的に供給する制
御アルゴリズムを使用する。入力323上の帰還信号の
振幅は、−5ボルトから+5ボルトまでの範囲を有す
る。一定利得システムでは、帰還信号の振幅がゼロ交差
に近づきさらにゼロ交差を通過するので、正確で安定し
たシステム制御が困難である。従来、利得は、高信号レ
ベルで制御システムを飽和させないようにしながら低信
号レベルを取り扱うのに必要とされる利得である妥協の
固定値に設定されている。その結果、システム応答性お
よび性能は、一般に妥協されたレベル、すなわち低レベ
ルのものである。すなわち、制御システムが安定するの
に必要な時間は比較的長い。本発明では、入力323上
の帰還信号の信号強度の関数として利得値を絶えず計算
し直し、動的に設定する。したがって、PIDループは
きわどく減衰する。すなわち、PIDループは最小限の
オーバーシュートで素早く安定状態に達する。他の点で
は、同調回路320は、知られているやり方で機能し
て、RF電源318のRF出力、同調回路320、およ
び処理チャンバ12内のRF回路で与えられるRF負荷
で構成されるRFシステムのインピーダンスを所望のイ
ンピーダンス値、例えば50オームに整合させる。
【0057】理解されるであろうが、様々なリミット・
スイッチまたは近接スイッチ330が処理チャンバ12
の動作に関連して使用される。例えば、処理チャンバ1
2のチャンバ蓋14(図1)の開いた位置および閉じた
位置それぞれを検出し、制御304のそれぞれの入力3
31上で状態帰還信号を供給するために、リミット・ス
イッチが使用される。これらのリミット・スイッチは、
蓋14を作動させる蓋アクチュエータ122(図2C)
に接続することができるし、蓋14に取り付けることが
できるし、または、そうでなければ蓋14の位置を検出
することができる。また、近接スイッチは、処理チャン
バ12内の加工物56の所望の位置を検出するために使
用される。磁気、機械的接触、光、その他を使用して物
体の近接または位置を検出する多くの異なる市販のリミ
ット・スイッチがある。設計者の用途および好みに依存
して、特定の型の市販リミット・スイッチが選ばれる。
【0058】プラズマ処理サイクルの終点はいくつかの
方法で決定することができる。本発明のプラズマ処理シ
ステムは、制御のレベルが非常に高い。したがって、プ
ラズマ処理サイクルは繰り返しに非常に適している。し
たがって、本発明のプラズマ処理システムでは、制御3
04は、通常、内部タイマを使用してプラズマ処理サイ
クルの所要時間を測定する。いくつかの用途では、終点
検出器334は処理チャンバ12と効果的に接続され
る。終点検出器334は、通常、光電スイッチであり、
この光電スイッチは、処理チャンバ12内で生成された
プラズマの光の所望の特定波長の検出に応答して状態を
変える。終点検出器334と処理チャンバ12の内部の
間の光学的連通は、ビューポート34(図1)を通して
終点検出器334を向けることで、または、処理チャン
バ12の壁の開口部または穴(図示しない)の中に終点
検出器334を取り付けることで実現することができ
る。処理チャンバ12内でのガス・プラズマの生成で光
が発生する。さらに、この光の波長は、チャンバ12中
のガス・プラズマの異なる材料の組成で変化する。例え
ば、エッチング・プロセスでは、ガス・プラズマは加工
物の表面から様々な材料をエッチングするので、プラズ
マで生成される光の波長は、ガス・プラズマとそれらの
材料の原子の結合の関数である。表面から被膜および不
純物がエッチングされた後で、継続するエッチングで、
加工物の固有の材料とガス・プラズマの原子の結合が生
じる。この結合で固有の波長の光が生成され、これが終
点検出器334で検出され、検出器334は出力336
上で2進帰還信号を制御304に戻す。このようにし
て、制御304は、その帰還信号が状態を変えるときに
プラズマ処理サイクルが完了した時を検出することがで
きる。
【0059】図5は、代表的なプラズマ処理サイクルを
実施する際の制御304の動作を説明する流れ図であ
る。602で、部品移動サイクルが始動する。このプロ
セス中に、制御304は命令信号を制御器(図示しな
い)に送り、この制御器によって、位置決めレバー94
が未処理加工物56を側レール78a、bの間のチャン
バ12に移動する。部品56が所定位置に移動したと
き、リミット・スイッチ330の1つが、部品の置かれ
た位置を検出し、それぞれの出力331上で状態帰還信
号を制御304に供給する。604で、部品が置かれた
ことを示すスイッチ状態の変化を制御が検出するとすぐ
に、制御304は出力337上で命令信号を与えて電磁
弁338を開く。開いた電磁弁338は、空気供給源例
えば工場空気340からの加圧空気を、蓋アクチュエー
タ122に蓋14を閉じた位置に動かさせる方向で、蓋
アクチュエータ122に向けて送り出す。リミット・ス
イッチ330の1つがこの閉じた位置を検出し、状態を
変え、それぞれの入力331上で状態帰還信号を制御3
04に与える。
【0060】608で、蓋の閉じた位置を検出するとす
ぐに、610で、制御304は出力342上で信号を与
えてソレノイド341に真空弁140を開くように命令
する。同時に、612で、制御304はPRPROCESS
等しい圧力設定点を設定し、プロセス圧力監視の動作を
始動する。通常、プラズマ処理システムでは、プラズマ
処理サイクルの開始前に、チャンバ12は所望の固定部
分真空圧力に排気される。しかし、チャンバ12の初期
排気は時間のかかるプロセスである。出願人は、チャン
バ12内の通常使用される処理圧力より上および下の圧
力の範囲で高品質プラズマ処理を保証できることを発見
した。多くの部品をチャンバ12内の異なる条件の下で
処理して、許容圧力範囲を決定した。したがって、本発
明のプラズマ処理システムでは、圧力境界の上の限界例
えば250mTorrは、通常使用される処理圧力例え
ば200mTorrにオフセット圧力例えば50mTo
rrを加えることで決定される。さらに、圧力境界の下
の限界、例えば150mTorrは、通常使用される処
理圧力、例えば200mTorrからオフセット圧力例
えば50mTorrを引くことで決定される。この例で
は、圧力監視システムは通常使用される処理圧力の20
0mTorrを圧力設定点として設定するが、圧力が2
50mTorrと150mTorrのそれぞれ上と下の
境界限界の間にある限りは、圧力監視システムはアラー
ムをセットしない、またはプラズマ処理システムの動作
に影響を与えない。したがって、真空ポンプ144が動
作している限り、制御304は、圧力計52からの圧力
帰還信号を与えている入力348を監視している。チャ
ンバ12が250mToorまで排気されたことを制御
304が検知したとき、ガス・プラズマが始まる。
【0061】612での圧力監視の開始と同時に、制御
304は、614で、出力344、346上で命令信号
を与えて、それぞれのマス・フロー・コントローラ31
2および隔離弁314を作動させる。プロセス・ガス
は、例えばArについて毎分5〜100標準立方センチ
メートル(sccm)のように、所定の流量でプロセス
・ガス入口190を通して導入される。マス・フロー・
コントローラ312で供給されるガスの流量および真空
ポンプ144の排気速度を調整して、その後のプラズマ
処理が維持されるようにプラズマ生成に適した処理圧力
が与えられる。チャンバ12内の処理圧力は、一般にお
およそ50から1000mTorrであり、好ましくは
125から250mTorrまでの範囲である。従来の
システムと異なり、処理チャンバ12は、チャンバ12
から雰囲気ガスを抜くために最初に使用される処理ガス
が導入されると同時に絶えず排気されている。一実施形
態では、マス・フロー・コントローラ312は、ほぼ
0.5リットルの体積の処理チャンバに30sccmの
流量を供給するように動作する。このようにして、処理
チャンバ12内では毎秒約4回新鮮なガスが交換され
る。多くの従来のプラズマ処理システムは、5秒にほぼ
一度処理チャンバのガスを交換する。本発明のシステム
のガス流量が大きいことで、エッチングされた材料およ
び処理チャンバからの他の汚染物質の除去が改善され、
またエッチングされた材料の処理チャンバ12内の壁お
よび細工への堆積が最小になる。
【0062】制御304は、処理チャンバ12内の圧力
または分圧を絶えず測定している圧力計54から帰還信
号を入力348上で絶えず監視する。616で、処理チ
ャンバ12内の圧力が初期圧力、すなわち通常使用され
る処理圧力にオフセット圧力値を加えたもの、上の例で
は250mToor、に等しくなる時を、制御304は
検出する。そのとき、618で、制御は出力350上で
命令信号を与えて、RF電源318をオンにする。しか
し、RF電源318からの全電力を供給するのではな
く、制御304はRF電源に命令して最小電力レベルだ
けを、例えば30ワットを供給させる。従来のプラズマ
処理システムは、同調回路320によって最初に全電力
を処理チャンバ12に加える。全電力でガス・プラズマ
を生成すると、プラズマ・スパイク、アーク放電、エネ
ルギー・ホット・スポット、その他の異常、および非常
に不安定なガス・プラズマをもたらすことが多い。さら
に、ガス・プラズマの変化は処理チャンバ12内のRF
負荷の変化をもたらすことになるので、不安定なガス・
プラズマのために、同調回路320がRFシステムのイ
ンピーダンスを所望の値に整合させることは非常に困難
になる。したがって、最初にガス・プラズマを全電力で
生成することで、プラズマが処理チャンバ12内で安定
するのを待ち、その後、所望のインピーダンス整合が確
立するまで同調回路320を動作させるのに、かなりの
時間がかかる。本発明のプラズマ処理システムでは、最
初に比較的低いまたは最小レベルの電力、例えば30ワ
ットをシステムに加えることで、従来システムに比べる
と、チャンバ12内のプラズマは非常に急速に安定する
ことができるようになる。
【0063】最小電力レベルにRF電源318をオンさ
せた後で、620で、制御304は200ミリ秒の遅延
を実施する。この遅延期間によって、最小電力レベルの
プラズマが安定することができる。その後、622で、
制御304は、自動同調サイクルすなわち自動同調制御
の動作を始動し、この自動同調制御で、電源318の出
力のRFインピーダンスおよび処理チャンバ12の入力
のRFインピーダンスを所望のインピーダンス、例えば
50オームに整合させるように、可変空気コンデンサが
使用される。このプロセス中に、位相振幅制御322、
326からのアナログ帰還信号が制御304のそれぞれ
の入力323、329上に与えられる。制御はPID制
御ループを実施し、出力328、329上で命令信号を
与えて、可変空気コンデンサ320、324で所望のイ
ンピーダンス整合が実現されるようにそれぞれのモータ
321、325を動作させる。
【0064】それから、624で、同調回路320が所
望のインピーダンス整合を達成したかどうかを、制御は
決定する。それが行われたとき、制御304は、626
で、最小レベルから最大レベルまで電力をランプ増加し
始める。電力が増加するときに、628で、制御は各連
続する電力レベルで同調回路320を動作させ続ける。
このようにして、制御が最小電力レベルから最大電力レ
ベルに移行するときに、RF電源318の見るインピー
ダンスが依然として所望の50オーム負荷に整合されて
いるように、可変空気コンデンサ320は絶えず調整さ
れる。RF電力を最大レベルまで傾斜増加させながらイ
ンピーダンス整合を維持することで、RF電源318を
最初に最大電力レベルにオンさせてインピーダンス整合
動作を実施する場合よりも短時間で、全電力で安定した
ガス・プラズマを実現できることを出願者は発見した。
【0065】留意すべきことであるが、電力が最大レベ
ルまで傾斜増加しているとき、プロセス・ガスは所望の
流量で処理チャンバ12を流れ、真空ポンプ144は処
理チャンバを減圧し続けている。前に説明したように、
動作圧力の範囲は、異なるプロセス・パラメータを使用
して多くの加工物を処理することで求めた。同様な実験
方法を使用して、同調されたRFシステムを維持しなが
らRF電力を増加することができる最大速度を求めた。
RF電力増加のその最大速度で、プラズマ処理サイクル
の減少が実現される。
【0066】630で、RF電力は最大レベルでないと
制御304が決定する場合、628で、制御は再び電力
レベルをインクリメントし、同調回路320を動作させ
てインピーダンスを所望の値に整合させる。630で、
電力は現在最大値であると制御304が決定する場合、
632で、制御は、電力が最大値のままであり、かつプ
ラズマ処理プロセスが続いている間、プラズマ処理サイ
クルの終点を求めて監視を始める。プラズマ処理動作中
に、加工物56の表面からスパッタされた汚染物質種
は、プロセス・ガスの流れとともに排気口136を介し
て処理空間102から排出される。プラズマ処理システ
ム10は、プラズマ処理の空間的な一様性とシステム処
理能力の両方を高めるように最適化される。
【0067】634で、制御304は、終点検出器33
4からの入力352上の帰還信号の状態を調べて、プラ
ズマ処理サイクルが完了であるかどうか決定する。説明
した実施形態では、処理サイクルの終点は、プラズマの
光の特定の波長を検出しそのようなもの表す信号を制御
304に与える終点検出器334で決定される。理解さ
れるであろうが、異なる処理パラメータを使用して多数
の加工物を処理することで、加工物を処理するのに必要
な時間の長さを決定することができる。他の実施形態で
は、制御304は、622で自動同調制御が始動される
のと同時に内部タイマを始動することができる。タイマ
は、実験的に求められたような加工物を処理するのに要
する時間の長さに設定する。したがって、内部タイマの
時間が切れてプラズマ処理サイクルの終わりを示すと
き、304で、制御はタイマの満了をプラズマ処理サイ
クルの終点として検出する。
【0068】634で、プラズマ処理サイクルの終わり
を表す入力352上の終点帰還信号の状態を制御が検出
するとすぐに、636で、制御304は出力350上で
命令信号を与えて、RF電源318がRF電力を最大レ
ベルから最小レベルにデクリメントする、すなわち傾斜
減少させるようにする。通常、電力を最小レベルから最
大レベルに傾斜増加させるのに必要とされるのと同じ速
度で、したがって全く同じ時間で、電力は最大レベルか
ら最小レベルに傾斜減少される。638で、RF電源3
18が最小レベルの電力を供給していることを制御30
4が検出するとすぐに、640で、制御304はRFシ
ステムが最小電力レベルで同調されていることを検査す
る。その後、642で、制御304は自動同調制御をオ
フし、200ミリ秒の遅延を実行する。この遅延によっ
て、最小電力レベルのプラズマが安定化することができ
る。
【0069】従来のプラズマ処理サイクルでは処理サイ
クルの終わりにRF発生器をオフにし、同調回路はRF
電源からの処理電力出力に対応する状態になっている。
したがって、異なった電力レベルの可能性がある次のサ
イクルが開始されるとき、同調回路320がインピーダ
ンスを整合させるのに時間が必要になる。対照的に、本
発明では、サイクルの終わりに、同調回路は最小電力に
同調される。したがって、RF電源318が最小電力に
オンされる次の処理サイクルの始めに、同調回路320
は、所望のインピーダンス整合がすでに存在している
か、すぐに整合に同調させることができるかいずれかの
ような状態にある。RFシステムの調整を最小限にする
ことで、結果的に最高で15秒のサイクル時間の節約と
なる。
【0070】次に、制御304は、644で、圧力監視
の動作を停止し、出力342および346上で命令信号
を与えて、それぞれの電磁弁341および315がそれ
ぞれの真空弁140および隔離弁314を閉じるように
する。さらに、制御304は出力344上で命令信号を
与えて、適切なマス・フロー・コントローラ312を流
れるガスの流量を終わらせる。さらに、制御304は、
出力356上で命令信号を与えて、電磁弁357がブリ
ード・バルブ54を開くようにし、それによって、処理
チャンバ12を減圧する。646で、制御304は、処
理チャンバ12内の圧力が実質的に大気圧に等しいこと
を決定する。この決定は、通常、ブリード・バルブ54
で処理チャンバ12を減圧するのに必要な時間を測定す
るように内部タイマを使用する制御で行われる。したが
って、648で、制御304は出力337上で命令信号
を与えて、電磁弁338が状態を変えて蓋アクチュエー
タ122の動作を逆にするようにする。その後、650
で、制御304は、蓋14が開いた位置に持ち上げられ
ていることを検出し、さらに、その次の部品移動サイク
ル602を始動する。そして、上記のプロセスは次の加
工物について繰り返される。
【0071】図6および7は、本発明の原理に従った処
理チャンバ12の他の実施形態を示し、この実施形態
は、可変幅基板支持物260を含む。有利なことに、支
持物260は、いろいろな寸法の加工物を収容すること
ができる。図6を参照すると、基板支持物260は、2
個の可動の対向する側レール264、266を滑動可能
に支持する高い台262と、各連結棒212が加える下
向きの力で下部電極202に取り付けられた平板267
とを含む。高い台262は、複数の留め具269で平板
267に機械的電気的に取り付けられている。矢印26
8、270で示すように、側レール264、266は、
支持台262の周囲近くの極限位置から高い台262の
長手方向の軸に沿った中心位置までの間で動かすことが
できる。その結果として、側レール264、266の間
の間隔は、所定の横幅の加工物272に対応して変える
ことができる。
【0072】側レール264および側レール266は全
く同じ構造であり、この構造を側レール266に関連し
て説明する。図7を参照すると、側レール266は、各
対向端に一体の垂直な柱276のある水平部材274を
備える。チャネル278は水平部材274の長さ全体に
沿って長手方向に延び、加工物272の周辺縁部を受け
入れる所定幅のU字形断面を有する。チャネル278の
各対向端は、フレア・リップ280を含み、このリップ
によって、加工物272の側縁部を滑動可能に容易につ
かまえることができるようになる。
【0073】各垂直柱276は、固定ネジ286を収容
するネジ切り穴284を有する上の突出し部分282お
よび傾斜のついた下の突出し部分288を含む。上の突
出し部分282の下面は、下の突出し部分288の上面
から垂直方向に変位して、高い台262の厚さよりも僅
かに小さな幅のくぼみ290を形成する。このくぼみ2
90は高い台262の周辺縁部を滑動可能に収容する。
したがって、各側レール264、266は、所定の横位
置に独立に移動させ、固定ネジ286で取り付けること
ができる。
【0074】図8〜12を参照すると、同様な参照数字
は図1〜7の同様な特徴を指し、さらに、本発明の他の
実施形態に従って、プラズマ処理システム10は、チャ
ンバ・ベース18および蝶番式に動かすことができるよ
うにチャンバ・ベース18と結合されたチャンバ蓋40
2を含む処理チャンバ400を備えることができる。特
に、処理空間102に加工物56を入れるか出すか移動
させるための開いた位置とチャンバ蓋402がチャンバ
・ベース18と封止接触する閉じた位置との間で、チャ
ンバ蓋402がチャンバ・ベース18に対して選択的に
旋回しまたは1つの端が飛び出すように、チャンバ蓋4
02の1つの側は蝶番アセンブリ16に取り付けられて
いる。ローディング・ステーション20(図1)および
出口ステーション22(図1)を使用して、処理チャン
バ12に関してここで説明したように、処理チャンバ4
00に加工物56を入れたり出したり往復運動で運ぶこ
とができる。プラズマ処理システム10の能力を拡張す
るために、チャンバ蓋402をチャンバ蓋14(図1)
と取り替えることができる。その結果、元のチャンバ蓋
を有する既存のプラズマ処理システムに、本発明の態様
のチャンバ蓋402を組み込んだ代替チャンバ蓋を後付
けすることができるようになる。
【0075】チャンバ蓋402は、下部側壁部404、
ドーム型天井部406、およびドーム型天井部406か
ら下部側壁部404を隔離する中間側壁部408を含む
アセンブリである。側壁部404、408およびドーム
型天井部406は、アルミニウムまたはアルミニウム合
金のような比較的高い電気伝導率を有する材料で形成さ
れる。中間側壁部408の周囲上部縁部と上のドーム型
部406の周囲下部縁部の間に、圧縮性エラストマーの
Oリング封止材401が設けられる。他の圧縮性エラス
トマー・Oリング封止材403が、中間側壁部408の
周囲下部縁部と下部側壁部404の周囲上部縁部の間に
設けられる。Oリング51が、下部側壁部404の周囲
下部縁部とチャンバ・ベース18のエプロンの間に圧縮
的に保持される。下部側壁部404は、処理チャンバ4
00の処理空間102で起こるプラズマ・プロセスを見
るための2個のビューポート・アセンブリを含み、その
うちのビューポート・アセンブリ410を図12に見る
ことができる。
【0076】無線周波(RF)キャビティ472からプ
ラズマ・キャビティ442を隔離するドーム型天井部4
06の分割壁406aに、ガス口409が設けられる。
このガス口409は、プラズマ・キャビティ442をプ
ロセス・ガスの供給源407まで延びるガス・ライン4
05と流体連通状態に結合するガス取付け部品411で
構成される。このガス取付け部品411はガス分配通路
でプラズマ・キャビティ442と結合され、このガス分
配通路は、プロセス・ガス通路413、プロセス・ガス
通路413と結合された一対のプロセス・ガス通路41
5a、b、および、セラミックス絶縁板416の上の平
面の近くで終端するようにガス通路415a、bから延
びる多数のガス通路417を含む。プラズマを生成する
ようにRFエネルギーで励起されたときに、ここで説明
したように、加工物56のダウンストリーム型プラズマ
処理を行うために適切な遊離基および他の活性種を供給
することができる任意の適切なプロセス・ガスまたはプ
ロセス・ガス混合物を供給することができる。代表的な
プロセス・ガスには、O2、CF4、N2およびH2があ
り、Arのような不活性ガスと混合されてプロセス・ガ
ス混合物を実現することができる。処理チャンバ400
中でのダウンストリーム型プラズマ処理に適したガス流
量は、一般に、約1sccmから約300sccmまで
の範囲にあり、プラズマ・キャビティ442の適切な圧
力は約50mTorrから約1000mTorrまでの
範囲にある。
【0077】処理チャンバ400を囲繞する環境からの
雰囲気空気のマス・フロー制御された流れは、プロセス
・ガスとして使用することができ、表面汚染物質を除去
するためのある特定の用途で特に有効であることが分か
っている。そのようなダウンストリーム型プラズマは、
水素、酸素、窒素および空気の他の主要な構成物から得
られる、酸素ベースの遊離基および窒素ベースの遊離基
を含んだ遊離基を含むと思われる。
【0078】続けて図8〜12を参照すると、チャンバ
蓋402のドーム型天井部406は、接地板412と、
接地板412に対向しかつ接地板412と一般的に平行
な電力供給平面を画定する電力供給電極414を含む。
接地板412、およびプラズマ・キャビティ442を囲
繞するドーム型天井部406の部分が、共同で、接地面
を画定する。長方形の平面セラミックス電極絶縁物41
6は、接地板412を含んだドーム型天井部406から
電力供給電極414を分離する。プラズマ・キャビティ
442は、接地板412と電力供給電極414の間に囲
まれた体積として、ドーム型天井部406中に画定され
る。接地板412と電力供給電極414は各々、アルミ
ニウムまたはアルミニウム合金のような高電気伝導率を
有する材料で形成される。
【0079】接地板412は、加工物56の幾何学的形
状に依存した構成、寸法、および/または配列を有する
複数の開口または貫通孔421(図13)を含む。貫通
孔421は、プラズマ・キャビティ442で生成された
直接プラズマから処理空間への遊離基および正味電荷の
ない他のプロセス・ガス種の好ましい透過を可能とし、
プラズマ・キャビティ442に存在する直接プラズマか
ら処理空間102へのイオンおよび電子のような荷電種
の移動を阻止するか、または防げる。一般に、接地板4
12は、プラズマ・キャビティ442から処理空間10
2に入ることを許されたプラズマからかなりのパーセン
ト値の荷電種を除去するのに効果的である。貫通孔42
1は、プラズマ・キャビティ442から処理空間102
への見通し通路を持たない曲がりくねった経路である。
処理空間102のプラズマは、荷電粒子のない、または
実質的にないダウンストリーム型のプラズマであり、そ
うでなければ荷電粒子が行う物理的作用のない状態で、
基の化学作用によって加工物56のプラズマ処理を行
う。
【0080】貫通孔421は、アレイまたはマトリック
ス状に配列することができ、または、周期性のない中心
間穴間隔で配列することができる。接地板412の貫通
孔421の面積密度は、1平方インチ当たり約10個の
穴から1平方インチ当たり約200個の穴の範囲でよ
い。個々の貫通孔421の直径は、約0.001インチ
から約0.125インチの範囲でよい。
【0081】図13および14を参照すると、チャンバ
蓋402は、接地板418(図13)および接地板42
0(図14)のような他の接地板を使用して新たに構成
することができる。接地板418、420は、プラズマ
・キャビティ442中の直接プラズマから処理空間10
2に送られ最終的には基板支持物64に支持される加工
物56の露出表面56aに送られる遊離基の分布または
パターンを変えるために、接地板412と交換すること
ができる。接地板418および420の貫通孔419
は、プラズマ・キャビティ442から処理空間102に
入ることを許される遊離基の空間分布を変えるように、
構成、寸法および/または配列が接地板412と違って
いる。
【0082】接地板418は、ディスク状の穴パターン
の円形の外周の内側に配列された複数の貫通孔419を
含む。接地板418は、例えば、300mmシリコン・
ウェーハのような半導体ウェーハをダウンストリーム型
プラズマで処理するために使用することができる。接地
板420は、長方形の中心開口を有する2枚のフレーム
板422、424、および中心開口を部分的にふさぐよ
うにフレーム板422、424の間に取り込まれた遮蔽
格子または格子426を含む。遮蔽格子426は、アル
ミニウムまたはアルミニウム合金のような比較的高電気
伝導率を有する材料で作られた目の細い針金の網であ
る。
【0083】様々な接地板412、418および420
の中から選ぶことができることで、プラズマから加工物
56に送られる遊離基の幾何学的なパターンを調整する
ことができるようになる。そのために、接地板412の
貫通孔421のような貫通孔の穴パターンは、ダウンス
トリーム型プラズマでプラズマ処理される加工物56の
形状寸法に対応するように調整することができる。例え
ば、接地板412の貫通孔421は、丸い加工物を処理
するためにはディスク状穴パターンに、正方形の加工物
には正方形状穴パターンに、長方形の加工物には長方形
状穴パターンに、さらに、加工物56の幾何学的形状に
一致するために必要なような当業者には明らかな他の幾
何学的配列に、配列することができる。一般に、貫通孔
421は、穴パターンの周囲限界が加工物56の外周縁
または周囲に実質的に対応するように、接地板412に
位置づけされる。
【0084】図8〜12を参照すると、チャンバ蓋40
2は、さらに、無線周波(RF)バルクヘッド取付け部
品428、一対のセラミックス・キャップ430a、
b、一対の環状セラミックス・スプール432a、b、
一対の電力フィードスルー434a、b、電力分配棒4
36、および無線周波(RF)蓋クロージャ要素438
を含む。無線周波(RF)電源439は、伝導線440
によってRFバルクヘッド取付け部品428と電気的に
結合されている。RF電源439およびチャンバ蓋40
2の部品が、共同で、プラズマ・キャビティ442のプ
ロセス・ガスを励起してプラズマを生成することができ
るプラズマ励起源を実現する。電力フィードスルー43
4a、bは、RF電力をRFバルクヘッド取付け部品4
28および電力分配棒436から電力供給電極414に
伝達する。RF電源439は、一般に、約40kHzと
約13.56MHzの間の周波数、好ましくは約13.
56MHzで、さらに約0ワットと約600ワットの間
の電力、一般には約50ワットから約600ワットまで
の電力で動作する。
【0085】セラミックス・キャップ430aは、電力
フィードスルー434aの上部に固定され、電力分配棒
436とクロージャ要素438の間に位置づけされる。
セラミックス・スプール432aは、分割壁406aと
電力分配棒436の間に取り込まれ、電力フィードスル
ー434aは、セラミックス・スプール432aの穴を
通って延びて、電力分配棒436と電力供給電極414
を電気的に接触させる。セラミックス・キャップ430
bは、電力フィードスルー434bの上部に固定され、
電力分配棒436とクロージャ要素438の間に位置づ
けされる。セラミックス・スプール432bは、分割壁
406aと電力分配棒436の間に取り込まれ、電力フ
ィードスルー434bは、セラミックス・スプール43
2bの穴を通って延びて、電力分配棒436と電力供給
電極414を電気的に接触させる。セラミックス・キャ
ップ430a、bは協働して、電力棒436および電力
フィードスルー434a、bの上端部をクロージャ要素
438から電気的に分離する。セラミックス・スプール
432a、bは協働して電力フィードスルー434a、
bをドーム型天井部406の分割壁406aから電気的
に分離する。また、セラミックス・スプール432a、
bは、セラミックス絶縁板416と分割壁406aの間
にガスの流れが生じるように、その間に垂直方向寸法の
小さなギャップを維持する。
【0086】使用中に、また、図8〜12を続いて参照
すると、プロセス・ガスは、ガス取付け部品411を通
ってチャンバ蓋402に入り、さらにガス通路413、
415a、bを通って、セラミックス絶縁板416の上
側で終っている多数のガス通路417に向けて送られ
る。プロセス・ガスの流れがドーム型天井部406の外
の縁部に向けて送られるように、プロセス・ガスは電力
供給電極414およびセラミックス絶縁板416の周囲
または周辺を流れ、またはそこからしみ出る。プロセス
・ガスは、セラミックス絶縁板416の縁部のまわりの
処理空間102で真空力によって横方向に、さらに貫通
孔421の方に引き寄せられる。これによって、プラズ
マ・キャビティ442中の一様なプロセス・ガス分布が
促進される。
【0087】接地板412と電力供給電極414の間に
加えられたRFエネルギーは、プラズマ・キャビティ4
42中に存在するプロセス・ガスからプラズマを発火さ
せ、さらに持続させる。プラズマ・キャビティ442中
のプラズマは、イオン、電子、遊離基および分子種を含
んだ完全な直接プラズマである。プラズマ処理システム
10内のプロセス・ガスの流れは一般的に底壁44にあ
る排気口136の方に導かれるので、プラズマ・キャビ
ティ442中の直接プラズマの様々な成分は、吸引力す
なわち真空力によって、接地板412の貫通孔421の
方に引き寄せられる。接地板412はアース接地に対し
て接地されているので、電子およびイオンは貫通孔42
1の内側で再結合する傾向がある。その結果として、イ
オンおよび電子はほとんど処理空間102に入る可能性
は小さくなる。接地板412によって、遊離基および中
性分子のような正味電荷の無いプラズマ種は貫通孔42
1を通って処理空間102に移動することができるよう
になる。一般に、接地板412は、プラズマ・キャビテ
ィ442から処理空間102に移送された、すなわち入
ることを許されたプラズマから実質的に全ての荷電種を
取り除くために効果的である。
【0088】真空ポンプ144(図3)の真空動作すな
わち排気動作によって、遊離基および中性分子は、加工
物56の方に動かされてダウンストリーム型プラズマ処
理を行う。ダウンストリーム型プラズマで処理すべき加
工物56は、基板支持物64の側レール66a、66b
で支えられている。処理空間102に入った遊離基は、
加工物56の露出表面56aと接触し、加工物56を形
成する材料と科学的に反応して表面処理を行う。過剰な
遊離基、未反応プロセス・ガス分子、および加工物56
から除去された汚染物質は、真空ポンプ144の排気動
作によって処理空間102から排出される。
【0089】チャンバ蓋402は、表面処理のためのダ
ウンストリーム型プラズマを生成することができること
のほかに、様々な異なる能力をプラズマ処理システム1
0に与える。接地板412は接地面を形成するので、処
理空間102中に直接プラズマを生成するようにRF発
生器302(図4)で基板支持物64を付勢することが
できる。この結果、プラズマ処理システム10のよう
な、チャンバ蓋402を備えたプラズマ処理システムを
使用して、プロセスの要求に応じて直接プラズマかダウ
ンストリーム型プラズマかいずれかで加工物56を選択
的にプラズマ処理することができるので、両方の能力を
単一のシステム10で利用することができるということ
になる。
【0090】代わりの動作モードでは、接地板412を
取り除き、基板支持物64をアース接地に接地し、さら
に電力供給電極414を付勢して処理空間102および
プラズマ・キャビティ442中に直接プラズマを生成す
ることによって、逆の直接プラズマを供給するように、
プラズマ処理システム10を構成することができる。接
地板412を取り除いた状態では、電力供給面が電力供
給電極414で与えられ、かつ接地面が基板支持物64
で与えられるように、チャンバ構成が変化する。逆直接
プラズマの構成によって、加工物56の上の露出表面5
6aのプラズマ処理が向上するために、ある特定の環境
の下で、プロセス時間が減少する。
【0091】他の動作モードでは、接地板412が取り
除かれた状態で電力供給電極414に電力を供給し、こ
れに加えて、ここで説明するように、基板支持物64を
付勢するように、プラズマ処理システム10を構成する
ことができる。この動作モードでは、RF電源439で
電極414に供給されるRF電力は、発生器302(図
4)で基板支持物64に供給されるRF電力に対して1
80電気度(180E)位相がずれる。その結果、処理
空間102(図3)でプロセス・ガスをイオン化する励
振力を与える電圧電位は、全体的に同じ量のRF電力で
実効的に2倍になる。この動作モードの1つの可能性の
ある利点は、電力供給電極414が付勢されるとき供給
される直接プラズマの電子およびイオンのために、基板
支持物64に加えられるRF電力が減少することであ
る。
【0092】さらに他の動作モードでは、接地板412
が取り付けられた状態で電力供給電極414に電力を供
給し、これに加えて、ここで説明するように、基板支持
物64を付勢するように、プラズマ処理システム10を
構成することができる。この動作モードでは、荷電粒子
を接地板412でろ過した後で処理空間102に入るこ
とを許された遊離基がプラズマ・キャビティ442の直
接プラズマから注入される処理空間102中で生成され
た直接プラズマに、加工物56はさらされる。本発明の
原理に従って、プロセス・ガス供給源407からプラズ
マ・キャビティ442中に流れ込むプロセス・ガスは、
独立したプロセス・ガス供給源(図示しない)から処理
空間102に直接流れ込むプロセス・ガスと違う可能性
があるので、プラズマ・キャビティ442から接地板4
12を通って処理空間102に移される遊離基は、処理
空間102で生成される直接プラズマの種と違ってい
る。
【0093】本発明の原理に従って、また、続いて図8
〜12を参照すると、中間側壁部408は、垂直方向の
チャンバ蓋402のチャンバ寸法を増すように作用す
る。チャンバ蓋402から中間側壁部408を取り除く
ことで、垂直方向のチャンバ寸法を減少することができ
る。元の中間側壁部408に追加の中間側壁部408を
加えて、すなわち積み重ねて、チャンバ蓋402の高さ
をさらに増し、垂直方向のチャンバ寸法をさらに高くす
ることができる。本発明がさらに考えていることである
が、例えば中間側壁部408を伸長可能な真空ベローと
して作るような多数の異なるやり方で、チャンバ蓋40
2の垂直方向の寸法を変えることができる。下部側壁部
404およびドーム型天井部406は、チャンバ蓋40
2を形成するアセンブリに常に存在し、中間側壁部40
8のない状態で組み立てられるとき、部分404および
406の寸法によって、電力供給電極414と電力供給
電極414に直面する加工物56の露出表面56aとの
間の最小間隔が画定される。
【0094】中間側壁部408は取外し可能に下部側壁
部404に取り付けられる。取付け時に、下部側壁部4
04に対する中間側壁部408の位置決めの助けになる
ように、案内444が設けられる。同様に、案内444
は、取付け時に、中間側壁部408に対してドーム型天
井部406を位置決めするのを手助けする。中間側壁部
408がアセンブリから取り除かれる場合、下部側壁部
404に対してドーム型天井部406を位置決めするた
めにも、案内444を使用することができる。中間側壁
部408を下部側壁部404と固定し、かつOリング4
03に圧縮力を加えて空密封止を形成するために、留め
具448が使用される。同様に、ドーム型天井部406
を中間側壁部408と固定し、かつOリング401に圧
縮力を加えて空密封止を形成するために、留め具450
が使用される。
【0095】1つまたは複数の中間側壁部408の挿入
および取外しによって処理チャンバ400の垂直方向の
チャンバ寸法を変えることができることで、プラズマ処
理システム10は異なる厚さの加工物56に対応するこ
とができるようになる。特に、電力供給電極414と基
板支持物64で保持された加工物56の露出表面56a
との間に再現性のある、すなわち予測可能な距離すなわ
ち間隔を維持することができる。そのために、各中間側
壁部408の垂直方向の寸法は、電力供給電極414と
露出表面56aの間に所望の間隔を与えるように選ぶこ
とができる。例えば、チャンバ蓋402を2個の1イン
チ厚さの中間側壁部408で構成することで、2インチ
厚さの加工物56の露出表面56aは、チャンバ蓋40
2が単一の1インチ中間側面部408を有する処理チャ
ンバ構成における1インチ厚さの加工物56と同じ距離
だけ、電力供給電極414から離れる。
【0096】加工物56の処理表面と電力供給電極41
4の間の間隔は、直接プラズマか処理の一様性が十分で
あるダウンストリーム型プラズマかいずれかで効果的な
プラズマ処理を行うために制御しなければならない基本
的な変数である。理解されるであろうが、1つまたは複
数の取外し可能な中間側壁部408を使用してチャンバ
蓋402および処理空間102の囲まれた体積を変える
機能は、直接プラズマ処理システムとダウンストリーム
型プラズマ処理システムの両方に制限なしに応用するこ
とができる。
【0097】同様な参照数字は図1〜14の同様な特徴
を示す図15〜18を参照すると、また、本発明の他の
実施形態に従って、プラズマ処理システム10は、チャ
ンバ・ベース18と、チャンバ・ベース18と蝶番式に
可動に結合された、チャンバ蓋402と同様なチャンバ
蓋502とを含む処理チャンバ500を備えることがで
きる。チャンバ蓋502は、蝶番アセンブリ16に取り
付けられて、処理空間102に加工物56を入れたり出
したり移動するための開いた位置とチャンバ蓋502が
封止するようにチャンバ・ベース18に接触する閉じた
位置のどちらかに、チャンバ・ベース18に対して選択
的に旋回するか、または一端を突き出すようになる。チ
ャンバ蓋502は、チャンバ蓋14(図1)またはチャ
ンバ蓋402(図8〜14)と交換して、チャンバ蓋5
02と同様なやり方でプラズマ処理システム10の機能
を拡張することができる。さらに、チャンバ蓋502
は、プラズマ処理システム10のような既存のプラズマ
処理システムに後付けすることができる。
【0098】チャンバ蓋502は、プラズマ・キャビテ
ィ542を有するドーム型天井部504およびドーム型
天井部504と固定された下部側壁部506を含むアセ
ンブリである。ドーム型天井部504は、プラズマ・キ
ャビティ542の周囲のまわりに延びる側壁501およ
び無線周波(RF)チャンバ543をプラズマ・キャビ
ティ542から分離する分割壁508を含む。案内54
4(図16)は、下部側壁部506に対してドーム型天
井部504を位置決めするために使用される。圧縮性エ
ラストマーOリング封止材503が、ドーム型天井部5
04の周囲の下の縁部と下部側壁部506の周囲の上の
縁部の間に設けられる。ドーム型天井部504を下部側
壁部506と固定し、かつOリング503に圧縮力を加
えて空密封止を形成するために、留め具448が使用さ
れる。Oリング51は、下部側壁部506の周囲の下の
縁部とチャンバ・ベース18のエプロンの間に圧縮的に
保持されて、空密封止を形成する。下部側壁部506
は、観察者が処理チャンバ500の処理空間102中で
起こっているプラズマ・プロセスを見ることができるよ
うにするサイト・ガラスを組み込んだビューポート・ア
センブリ510を含む。本発明の考えることであるが、
ここで説明した中間側壁部408(図8〜12)と同様
な1つまたは複数の中間側壁部(図示しない)をドーム
型天井部504と下部側壁部506の間に入れることが
できる。
【0099】続けて図15〜18を参照すると、ガス・
ライン505は、ガス取付け部品511を介して、プロ
セス・ガス供給源507(図16)をドーム型天井部5
04に設けられたガス口509を結合する。ガス口50
9は、ガス分配通路によってチャンバ蓋502に画定さ
れたプラズマ・キャビティ542と流体連通状態に結合
され、このガス分配通路は、プロセス・ガス通路513
と、このプロセス・ガス通路513と流体連通状態に結
合されたガス分配チャンバ515を画定するガス分配バ
ッフル546とを含む。ガス分配チャンバ515は、ガ
ス分配バッフル546の多数のガス出口517の分布配
列によって、プラズマ・キャビティ542と流体連通状
態に結合される。ガス出口517は、異なる種類および
構成の加工物56をプラズマ処理するために処理チャン
バ102に入ることを許されるプラズマを調整するのに
適したガス負荷を与えるように、任意の寸法または配列
をとることができる。ここで説明したように、プラズマ
・キャビティ542で直接プラズマを生成するようにR
Fエネルギーで励起されたとき、加工物56のダウンス
トリーム型プラズマ処理を行うのに適切な遊離基および
他の反応種を供給することができる任意の適切なプロセ
ス・ガスまたはプロセス・ガス混合物を、プラズマ・キ
ャビティ542に供給することができる。ガス分配バッ
フル546は省略することができ、さらにプロセス・ガ
スの流れはプロセス・ガス通路513の出口を通ってプ
ラズマ・キャビティ542に入ることができると、本発
明は考える。そのために、プロセス・ガス通路513の
出口は、ドーム型天井部504の幾何学的な中心とほぼ
一致するように位置づけすることができる。
【0100】ドーム型天井部504は、接地板512
と、この接地板512から垂直方向に間隔を空けて配置
された電力供給電極514とを備える。この電力供給電
極514は、接地板512と対向しかつ一般に平行な、
プラズマ・キャビティ542中の電力供給面を画定す
る。接地板512は側壁501と良好に電気的に接触
し、この側壁501は、チャンバ蓋502が接地される
ときに接地板512を電気的に接地する。接地板512
およびプラズマ・キャビティ542を囲繞するドーム型
天井部504の部分が共同で接地面を画定する。接地板
512および電力供給電極514は、各々、アルミニウ
ムまたはアルミニウム合金のような高電気伝導率を有す
る材料で形成される。
【0101】図15〜18を続いて参照すると、接地板
512は、上のスロット付き板516、中央のスロット
付き板518および下のスロット付き板520を含むア
センブリである。板516、518および520は実質
的に等しい厚さであるが、本発明はそのように限定され
ない。上のスロット付き板516には多数の開口すなわ
ちスロット522が開いており、このスロットは、加工
物56がローディング・ステーション20から基板支持
物64にさらに基板支持物64から出口ステーション2
2に移動される機械方向、図16のページの面に出入り
する方向、に対して横向きに延びる長軸を有する。同様
に、中心および下のスロット付き板518、520各々
には、多数の開口すなわちスロット524、526それ
ぞれが開いており、そのスロット各々は、加工物移動の
機械方向に対して横向きに延びる長軸を有する。垂直方
向に見たときの各スロット522、524、526の断
面プロファイルは、機械方向に対して横向き並んだ長軸
を有するどのような形でもよく、特に長方形か楕円形か
であることができる。
【0102】図15および15Aを参照すると、上およ
び下のスロット付き板516および520のスロット5
22および526は、それぞれ垂直方向に一直線に並ん
でいる。中央のスロット付き板518のスロット524
は、スロット522および526から機械方向にずれて
いる。スロット522、524および526は、プラズ
マ・キャビティ542から処理空間102へのプロセス
・ガスおよび基の流体の流れを可能にするが、スロット
付き板516と518の間およびスロット付き板518
と520の間の相関的な板間隔と協働して、処理空間1
02からプラズマ・キャビティ542への見通し通路全
てを実質的に無くする、曲がりくねったすなわち迷路の
ような経路を与える。見通し通路を無くすることで、プ
ラズマ・キャビティ542で直接プラズマによって生成
される一般に電磁スペクトルの可視領域にある光が、光
が反射で向きを変える以外には、処理空間102に入る
ことがなくなる。
【0103】図15、16および18を参照すると、上
のスロット付き板516は、複数の例えば4個の周囲に
配置されたスペーサ550で、中央のスロット付き板5
18から間隔を空けて配置され、このスペーサ550は
板516と518を電気的に良好に接触させる。同様
に、下のスロット付き板520は、複数の例えば4個の
周囲に配置されたスペーサ551で、中央のスロット付
き板518から間隔を空けて配置され、このスペーサ5
51は板518と520を電気的に良好に接触させる。
スペーサ550は、板516と518を一様なギャップ
で分離する寸法であり、同様に、スペーサ551は、板
518と520を一様なギャップで分離する寸法であ
り、このギャップは板516と518の間のギャップと
異なっていてよい。
【0104】本発明で考えられることであるが、スロッ
ト522、524および526は、板間隔と協働してプ
ラズマ・キャビティ542と処理空間102の間の見通
し通路を無くするか、妨げるか、または少なくとも実質
的に減少させる任意の相関的な空間配列であることがで
きる。また、本発明の考えることであるが、スロット5
22、524、526は、加工物56の幾何学的な形状
に対応した構成、寸法、および/または配列を有するこ
とができる。一実施形態では、スロット522、524
および526は、処理空間102とプラズマ・キャビテ
ィ542の間に延びる方向で見た断面プロファイルが長
方形であり、ほぼ2インチの長軸に沿った寸法、ほぼ3
/16インチの短軸に沿った寸法、約3/32インチの
隣り合うスロット間の間隔を有し、さらに、スロット5
24はスロット522および526から3/16インチ
だけずれている。上と中央の板516と518は、板厚
さにほぼ等しい距離だけ離れ、さらに、中央と下の板5
18と520は、板厚さの1.5倍にほぼ等しい距離だ
け離れている。
【0105】接地板512は、プラズマ・キャビティ5
42の直接プラズマから処理空間102へのイオンおよ
び電子を含んだ荷電種の移動を妨げ、プラズマ・キャビ
ティ542から処理空間102への遊離基および正味電
荷の無い他のプロセス・ガス種の移動を可能にする。特
に、スロット522、524、526をそれぞれ囲繞す
る接地されたスロット付き板516、518、520の
材料で、荷電種は捕獲される。真空ポンプ144(図
3)の排気動作で、遊離基および中性分子は、スロット
522、524、526を通ってプラズマ・キャビティ
542から処理空間102中にさらに加工物56の方に
引き寄せられて、ダウンストリーム型プラズマ処理を行
う。処理空間102のプラズマは、荷電粒子および光の
無いまたは実質的に無いダウンストリーム型プラズマで
あり、そうでなければ荷電粒子で行われる物理的な作用
のない状態で、遊離基の化学作用によって加工物56の
プラズマ処理を行う。一般に、接地板512は、プラズ
マ・キャビティ542から処理空間102中に移送され
た、すなわち入ることを許された直接プラズマの部分か
ら、実質的に全ての荷電種を取り除くのに効果的であ
る。一般に、接地板512は、荷電粒子の少なくとも約
90%を取り除くために効果的であり、さらに、荷電粒
子の99%以上を取り除くために効果的である。
【0106】接地板412、418、および420(図
12〜14)に関して本明細書で説明したように、例え
ばプラズマ処理される加工物56の種類の変化に対応す
るようにスロット522、524、526の構成、寸
法、および/または配列を変えるために、接地板512
は、チャンバ蓋502から取外し可能であるように構成
される。例えば、スロット522、524、526は、
丸い加工物を処理するためにディスク状パターンに、正
方形の加工物のために正方形状パターンに、長方形の加
工物のために長方形パターンに、さらに加工物56の幾
何学的な形と相関するのに必要なような当業者には明ら
かな他の幾何学的な配列に、必要な大きさに作り、かつ
配列することができる。
【0107】図15〜18を参照すると、チャンバ蓋5
02は、さらに、無線周波(RF)バルクヘッド取付け
部品528、一対の電気絶縁キャップ530a、b、一
対の誘電体スプール532a、b、一対の電力フィード
スルー534a、b、電力分配棒536、RFチャンバ
543への接近を可能にする取外し可能な無線周波(R
F)蓋クロージャ要素538、および一対の環状セラミ
ックス・スペーサ540a、bを含む。無線周波(R
F)電源539は、伝導線540でRFバルクヘッド取
付け部品528と結合されている。RF電源539、お
よびチャンバ蓋502の部品が共同で、プラズマ・キャ
ビティ542中のプロセス・ガスを励起してプラズマを
生成することができるプラズマ励起源を実現する。電力
フィードスルー534a、bは、RFバルクヘッド取付
け部品528および電力分配棒536から電力供給電極
514にRF電力を伝達する。RF電源539は、一般
に、約40kHzと約13.56MHzの間の周波数、
好ましくは約13.56MHzで、かつ約0ワットと約
600ワットの間の電力、一般に約50ワットから約6
00ワットで動作する。
【0108】電力フィードスルー534a、bおよびセ
ラミックス・スプール532a、bは、分割壁508を
通って延びるそれぞれの開口541a、b中に位置づけ
される。キャップ530aは、電力フィードスルー53
4aの上部に固定され、電力分配棒536とクロージャ
要素538の間に位置づけされる。セラミックス・スプ
ール532aは、分割壁508と電力分配棒536の間
に押さえられるフランジを有し、電力フィードスルー5
34aは、セラミックス・スプール532aの穴を通っ
て延びて電力分配棒536と電力供給電極514を電気
的に接触させる。キャップ530bは、電力フィードス
ルー534bの上部に固定され、電力分配棒536とク
ロージャ要素538の間に位置づけされる。セラミック
ス・スプール532bは、分割壁508と電力分配棒5
36の間に押さえられたフランジを有し、電力フィード
スルー534bは、セラミックス・スプール532bの
穴を通って延びて電力分配棒536と電力供給電極51
4を電気的に接触させる。セラミックス・スペーサ54
0aは、分割壁508と電力供給電極514の間に押さ
えられ、セラミックス・スプール532aと同心であ
る。同様に、セラミックス・スペーサ540bは、分割
壁508と電力供給電極514の間に押さえられ、セラ
ミックス・スプール532bと同心である。キャップ5
30a、bは協働して電力棒536および電力フィード
スルー534a、bの上端部をクロージャ要素538か
ら電気的に分離する。セラミックス・スプール532
a、bおよびセラミックス・スペーサ540a、bは協
働して電力フィードスルー534a、bをドーム型天井
部504の分割壁508から電気的に分離する。
【0109】図15〜18を続いて参照すると、電力供
給電極514の平面の上面514a、平面の下面514
bおよび側縁部514cが、電気的に接地されたドーム
型天井部504および接地板512の隣り合う囲繞する
表面から実質的に等距離に位置づけされるように、電力
供給電極514はプラズマ・キャビティ542中に位置
づけされる。特に、下面514bが上のスロット付き板
516の上向き平面516aから離れているのとほぼ同
じ距離だけ、上面514aはガス分配バッフル546の
下向き平面546aから垂直方向に離れ、かつこの平面
546aと一般的に平行な関係にある。表面514aお
よび514bは、一般的に平行な関係にある。さらに、
側面514cと側壁501の内向きの表面501aの隣
り合う部分との間の横方向距離は、表面514aおよび
546aと表面514bおよび516aとの間の間隔に
ほぼ等しい。その結果、電力供給電極514は表面50
1a、546aおよび516aに対して対称に、かつこ
れらの表面から等距離に位置づけされることになる。プ
ラズマ・キャビティ542中に特に一様なプラズマ、し
たがって処理空間102中に特に一様なダウンストリー
ム型プラズマを実現する一実施形態では、電力供給電極
514と表面501a、546a、および516aの間
の間隔はそれぞれほぼ1インチである。等距離間隔およ
び間隔の大きさが協働して、プラズマ・スパイク、アー
ク放電、エネルギー・ホット・スポット、またはプラズ
マ不安定を引き起こすことなしに、RF電源539から
電力供給電極514へのランピングの無い全電力の供給
を可能にする。
【0110】続けて図15〜18を参照すると、使用時
に、プロセス・ガスはガス口509を通ってチャンバ蓋
502に入り、ガス通路513を通ってガス分配バッフ
ル546の上側に向けて送られる。ガスは、ガス分配バ
ッフル546の上側からガス出口517を通ってプラズ
マ・キャビティ542中に流れ、このガス分配バッフル
546はプラズマ・キャビティ542中の一様なプロセ
ス・ガスの分配を促進する。接地板512と電力供給電
極514の間に加えられたRFエネルギーは、プラズマ
・キャビティ542中に存在するプロセス・ガスからプ
ラズマを発火し、持続させる。プラズマ・キャビティ5
42中のプラズマは、イオン、電子、遊離基、および分
子種を含有する完全な直接プラズマである。プラズマ処
理システム10でのプロセス・ガスの流れは、一般的
に、底壁44の排気口136に向かって導かれるので、
プラズマ・キャビティ542中の直接プラズマの様々な
成分は、吸引力すなわち真空力によって、接地板512
を共同して構成するスロット付き板516、518、お
よび520の方に引き寄せられる。接地板512はアー
ス接地に対して接地されているので、電子およびイオン
のような荷電種はスロット522、524、および52
6の内側で再結合する。その結果として、イオンおよび
電子は処理空間102に入る可能性がほとんど小さくな
る。接地板512によって、プロセス・ガスの遊離基お
よび中性分子のような正味電荷の無いプラズマ種がスロ
ット522、524および526を通って処理空間10
2中に移動することを可能にする。スロット522、5
24および526の相対的な配列、および上および中心
のスロット付き板516、518と中心および下のスロ
ット付き板518、520との間の空間関係によって、
プラズマ・キャビティ542から処理空間102への見
通し通路が無くなるので、または実質的に無くなるの
で、プラズマ・キャビティ542中の直接プラズマで生
成される光は処理空間102では見えない。
【0111】真空ポンプ144(図3)の真空動作すな
わち排気動作によって、遊離基および中性分子は加工物
56の方に動いて、ダウンストリーム型プラズマ処理を
行う。ダウンストリーム型プラズマで処理すべき加工物
56は、基板支持物64の側レール66a、66bで支
持される。処理空間102に入ることを許された遊離基
は、加工物56の露出表面56aと接触し、加工物56
を形成する材料または露出表面56aを覆う汚染物と化
学的に反応して表面処理を行う。過剰な遊離基、未反応
プロセス・ガス分子、および加工物56から除去された
汚染物は、真空ポンプ144の排気動作によって処理空
間102から排出される。
【0112】本発明を様々な実施形態の説明で明らかに
し、またこれらの実施形態はかなり詳細に説明したが、
添付の特許請求の範囲の範囲をそのような詳細に限定す
ることは、またはいずれにしても制限することは、本出
願人の目的ではない。その他の有利点および修正物は当
業者には容易に明らかになるであろう。したがって、よ
り広い態様の本発明は、特定の詳細、代表的な装置およ
び方法、および図示し説明した例示の例に限定されな
い。したがって、出願人の一般的な発明の概念の精神ま
たは範囲から逸脱することなし、そのような詳細から逸
脱することができる。本発明自体の範囲は、請求する特
許請求の範囲によって定義されるだけであるべきであ
る。
【図面の簡単な説明】
【図1】本発明の原理に従ったプラズマ処理システムを
示す透視図である。
【図2A】図1のプラズマ処理システムを示す側面概略
部分切欠図である。
【図2B】チャンバ蓋が閉じた位置にある図1のプラズ
マ処理システムを示す側面概略部分切欠図である。
【図2C】図1のプラズマ処理システムを示す詳細側面
図である。
【図3】図1のプラズマ処理システムを示す正面図であ
る。
【図4】図1のプラズマ処理システムの制御システムを
示す概略ブロック図である。
【図5】図4の制御システムを使用するプラズマ処理サ
イクルを実施するプロセスを示す流れ図である。
【図6】本発明の原理の他の実施形態に従った基板支持
物を示す側面図である。
【図7】図6の基板支持物を示す部分正面図である。
【図8】本発明の原理に従ったプラズマ・チャンバを示
す上面図である。
【図9】図8の線9−9に一般的に沿った断面を示す断
面図である。
【図10】図8の線10−10に一般的に沿った断面を
示す断面図である。
【図11】図10の一部を示す詳細図である。
【図12】図8〜11のプラズマ・チャンバを示す分解
図である。
【図13】図8〜12のプラズマ・チャンバで使用され
る接地板の他の実施形態を示す透視図である。
【図14】図8〜12のプラズマ・チャンバで使用され
る接地板の他の実施形態を示す透視図である。
【図15】本発明の原理に従ったプラズマ・チャンバの
他の実施形態を示す図9と同様な断面図である。
【図15A】図15の一部を示す詳細図である。
【図16】図15のプラズマ・チャンバを示す図10と
同様な断面図である。
【図17】図16の一部を示す詳細図である。
【図18】図15〜17のプラズマ・チャンバを示す分
解図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェームズ ディー. ゲッテイ アメリカ合衆国 95688 カリフォルニア, ヴェイカヴィル,ギブソン キャニオン ロード 6763 (72)発明者 トーマス ヴィ. ボールデン ザ セカ ンド アメリカ合衆国 94954 カリフォルニア, ペタルマ,レイクヴィル サークル 230 (72)発明者 ロバート セルゲイ コンドラショフ アメリカ合衆国 94598 カリフォルニア, ウォルナット クリーク,ラコルソ ドラ イヴ 646 Fターム(参考) 5F004 AA01 BA03 BA04 BB18 BB24 BB32 DB00 EB08

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 加工物をプラズマで処理するための装置
    であって、 処理空間、チャンバ蓋、および前記チャンバ蓋中に画定
    されたプラズマ・キャビティを含む真空チャンバであっ
    て、前記プラズマ・キャビティと前記処理空間が流体連
    通状態にある真空チャンバと、 前記処理空間に位置づけされた加工物ホルダーと、 前記真空チャンバと流体連通状態で結合され、前記処理
    空間および前記プラズマ・キャビティを排気することが
    できる真空源と、 前記真空チャンバと流体連通状態で結合され、プロセス
    ・ガスを少なくとも前記プラズマ・キャビティに供給す
    ることができるプロセス・ガス供給源と、 前記プラズマ・キャビティ中のプロセス・ガスを励起し
    てプラズマを生成することができ、前記プラズマ・キャ
    ビティと前記処理空間の間に位置づけされた接地板を含
    む第1のプラズマ励起源とを備え、 前記接地板が、前記プラズマ・キャビティから前記処理
    空間へのイオンおよび電子の移動を妨げ、かつ前記プラ
    ズマ・キャビティから前記処理空間への遊離基の移動を
    可能にすることができる複数の開口を有する装置。
  2. 【請求項2】 前記第1のプラズマ励起源が、前記プラ
    ズマ・キャビティ中に位置づけられた第1の電力供給電
    極を備え、前記第1の電力供給電極が前記プラズマ・キ
    ャビティ中にプラズマを生成するために前記接地板と協
    働する、請求項1に記載の装置。
  3. 【請求項3】 前記第1のプラズマ励起源が、さらに、
    前記第1の電力供給電極と電気的に結合された第1の無
    線周波電源を備え、前記第1の無線周波電源が前記プラ
    ズマ・キャビティ中のプロセス・ガスを励起するために
    無線周波励起エネルギーを前記第1の電力供給電極に供
    給する、請求項2に記載の装置。
  4. 【請求項4】 さらに、前記処理空間中に位置づけされ
    た第2の電力供給電極および前記第2の電力供給電極と
    電気的に結合された第2の無線周波電源を備え、前記第
    2の無線周波電源が前記処理空間中のプロセス・ガスを
    励起してプラズマを生成するために励起エネルギーを前
    記第2の電力供給電極に供給することができる、請求項
    3に記載の装置。
  5. 【請求項5】 前記第1の無線周波電源が、前記第2の
    無線周波電源によって前記第2の電力供給電極に供給さ
    れる無線周波電力に対して180電気度位相のずれた無
    線周波電力を前記第1の電力供給電極に供給する、請求
    項4に記載の装置。
  6. 【請求項6】 前記複数の開口が、プラズマ処理される
    加工物の幾何学的な形に対応するパターンで配列され
    る、請求項1に記載の装置。
  7. 【請求項7】 前記パターンの外周縁が、前記加工物の
    外周縁に実質的に対応する、請求項6に記載の装置。
  8. 【請求項8】 前記チャンバ蓋が、前記加工物ホルダー
    への加工物の導入および前記加工物ホルダーからの加工
    物の取出しを可能にするために、開いた位置と閉じた位
    置の間で動かすことができる、請求項1に記載の装置。
  9. 【請求項9】 さらに、 前記チャンバ蓋が開いた位置にあるときに、加工物を前
    記加工物ホルダーに導入するために構成されたローディ
    ング・ステーションと、 前記チャンバ蓋が開いた位置にあるときに、前記加工物
    ホルダーから加工物を取り出すために構成された出口ス
    テーションとを備える、請求項8に記載の装置。
  10. 【請求項10】 前記チャンバ蓋が、さらに、前記プロ
    セス・ガス供給源を前記プラズマ・キャビティと流体連
    通状態で結合するプロセス・ガス入口を含む、請求項1
    に記載の装置。
  11. 【請求項11】 前記チャンバ蓋が、さらに、前記プロ
    セス・ガス入口から入って来たプロセス・ガスを前記プ
    ラズマ・キャビティに分配するためのガス分配通路を含
    む、請求項10に記載の装置。
  12. 【請求項12】 前記第1のプラズマ励起源が、前記プ
    ラズマ・キャビティ中に位置づけされた第1の電力供給
    電極および前記第1の電力供給電極と前記チャンバ蓋の
    間に位置づけされた電極絶縁体を含み、さらに、前記ガ
    ス分配通路が、前記プラズマ・キャビティ中に実質的に
    一様な分配を行うために、前記電極絶縁体の外周および
    前記第1の電力供給電極の外周のまわりにしみ出るプロ
    セス・ガスを放出する前記電極絶縁体のすぐ近くの複数
    の出口を含む、請求項11に記載の装置。
  13. 【請求項13】 前記ガス分配通路が、プロセス・ガス
    の流れを前記プラズマ・キャビティ中に分配するように
    位置づけされた複数の空間的に配列されたガス出口を有
    するガス分配バッフルを備える、請求項11に記載の装
    置。
  14. 【請求項14】 前記接地板が、前記プラズマ・キャビ
    ティと前記処理空間の間に取外し可能に位置づけされて
    いる、請求項1に記載の装置。
  15. 【請求項15】 前記開口が、前記処理空間と前記プラ
    ズマ・キャビティの間の見通し通路を実質的に無くする
    ように配列されている、請求項1に記載の装置。
  16. 【請求項16】 前記接地板が、複数のスロット付き板
    を供え、各スロット付き板が見通し通路を実質的に無く
    するために協働するスロット配列を有する、請求項15
    に記載の装置。
  17. 【請求項17】 前記スロット付き板のうちの隣り合う
    ものが、見通し通路を実質的に無くするために前記スロ
    ット配列と協働する、前記プラズマ・キャビティと前記
    処理空間の間に延びる方向の板間の間隔を有する、請求
    項16に記載の装置。
  18. 【請求項18】 前記スロット付き板のうちの少なくと
    も1つの前記スロット配列が、前記スロット付き板の少
    なくとも別の1つの前記スロット配列から空間的にずれ
    ている、請求項16に記載の装置。
  19. 【請求項19】 前記真空チャンバが、加工物がその方
    向に搬送される機械方向を有し、前記少なくとも1つの
    スロット付き板の前記スロット配列が前記機械方向と同
    じ方向にずれている、請求項18に記載の装置。
  20. 【請求項20】 前記プラズマ・キャビティが、前記チ
    ャンバ蓋の複数の内側を向いた表面と前記接地板の表面
    で画定され、前記電力供給電極が前記チャンバ蓋の前記
    複数の内側を向いた表面および前記接地板の前記表面か
    ら等距離に位置づけされている、請求項1に記載の装
    置。
  21. 【請求項21】 加工物をプラズマで処理するための装
    置であって、 チャンバ・ベース、および処理空間を画定する閉じた位
    置と前記処理空間に加工物を入れたり出したり移動する
    ための開いた位置との間で前記チャンバ・ベースに対し
    て動かすことができるチャンバ蓋を有する真空チャンバ
    であって、前記真空チャンバの垂直寸法を変えるために
    前記チャンバ蓋から取り除くことができる第1の側壁部
    を含む真空チャンバと、 前記真空チャンバと流体連通状態で結合され、前記処理
    空間を排気することができる真空源と、 前記処理空間に位置づけされた加工物ホルダーと、 前記真空チャンバと流体連通状態であり、プロセス・ガ
    スを前記処理空間に供給することができるプロセス・ガ
    ス供給源と、 前記プロセス・ガスから生成される前記処理空間のプラ
    ズマを供給するように動作可能なプラズマ励起源とを備
    える装置。
  22. 【請求項22】 前記チャンバ蓋が、さらに、プラズマ
    ・キャビティを含むドーム型天井部を備え、前記プラズ
    マ励起源が前記プラズマ・キャビティ中に位置づけされ
    た電力供給電極を含む、請求項21に記載の装置。
  23. 【請求項23】 前記プラズマ励起源が、さらに、前記
    プラズマ・キャビティと前記処理空間の間に位置づけさ
    れた接地板を備える、請求項22に記載の装置。
  24. 【請求項24】 前記接地板が、前記プラズマ・キャビ
    ティ中のプラズマから前記処理空間に遊離基を選択的に
    移動させることができる複数の開口を含む、請求項23
    に記載の装置。
  25. 【請求項25】 さらに、前記チャンバ・ベースと密封
    するように係合することができる第2の側壁部を備え、
    前記第1の側壁部が前記ドーム型天井部と前記第2の側
    壁部の間に位置づけされる、請求項22に記載の装置。
  26. 【請求項26】 さらに、前記第1の側壁部を前記第2
    の側壁部と位置合せするための案内を備える、請求項2
    5に記載の装置。
  27. 【請求項27】 前記案内が、さらに、前記第2の側壁
    部を前記ドーム型天井部と位置合せすることができる、
    請求項26に記載の装置。
  28. 【請求項28】 さらに、前記取外し可能な側壁部を前
    記ドーム型天井部と位置合せするための案内を備える、
    請求項25に記載の装置。
  29. 【請求項29】 前記プラズマ励起源が、前記加工物ホ
    ルダーを含むアセンブリの部分である電力供給電極を備
    える、請求項21に記載の装置。
  30. 【請求項30】 厚さと露出表面を有する加工物を、チ
    ャンバ蓋およびチャンバ蓋中に位置づけされた処理電極
    を有する真空チャンバの処理空間でプラズマ処理する方
    法であって、 前記加工物の前記露出表面から前記処理電極までの距離
    を前記加工物の厚さに基づいて変えるために、前記チャ
    ンバ蓋の体積を変えるステップと、 前記処理空間中に加工物を配置するステップと、 前記加工物の前記露出表面を前記プラズマにさらすステ
    ップとを含む方法。
  31. 【請求項31】 前記体積を変えるステップが、さら
    に、前記チャンバ蓋から少なくとも1つの側壁部を取り
    除くことを含む、請求項30に記載の方法。
  32. 【請求項32】 前記体積を変えるステップが、さら
    に、前記チャンバ蓋に少なくとも1つの側壁部を追加す
    ることを含む、請求項30に記載の方法。
  33. 【請求項33】 加工物をプラズマで処理する方法であ
    って、 プラズマ処理システムの処理空間中に加工物を配置する
    ステップと、 プロセス・ガスから荷電種および遊離基を含む直接プラ
    ズマを生成するステップと、 前記直接プラズマから荷電種をろ過して遊離基を含むダ
    ウンストリーム型プラズマを生成するステップと、 前記処理空間で前記加工物を前記ダウンストリーム型プ
    ラズマの遊離基にさらすステップとを含む方法。
  34. 【請求項34】 前記荷電種をろ過することが、前記直
    接プラズマが生成されるプラズマ・キャビティと前記処
    理空間の間に接地された穴あき板を位置づけすることを
    含み、前記穴が、前記プラズマ・キャビティ中の直接プ
    ラズマから前記処理空間に遊離基を選択的に移動させる
    ことができる、請求項33に記載の方法。
  35. 【請求項35】 前記プラズマ処理システムが、可動チ
    ャンバ蓋を有する真空チャンバおよび前記接地された穴
    あき板で前記処理空間から隔離された前記チャンバ蓋中
    のプラズマ・キャビティを含み、さらに、前記直接プラ
    ズマの生成が前記プラズマ・キャビティ中で起こる、請
    求項34に記載の方法。
  36. 【請求項36】 前記プロセス・ガスが空気であり、前
    記直接プラズマが空気から生成され、さらに、前記第2
    のプラズマが、空気の構成ガス特有の基を含む、請求項
    33に記載の方法。
  37. 【請求項37】 前記直接プラズマの生成で光が生じ、
    前記直接プラズマから生じる光の前記処理空間中への伝
    達を実質的に無くすることをさらに含む、請求項33に
    記載の方法。
JP2003113544A 2002-04-19 2003-04-18 プラズマ処理装置及び方法 Expired - Fee Related JP4634697B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US37401002P 2002-04-19 2002-04-19
US60/374010 2002-04-19
US10/324,436 US7013834B2 (en) 2002-04-19 2002-12-20 Plasma treatment system
US10/324436 2002-12-20

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2009180614A Division JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Division JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Publications (3)

Publication Number Publication Date
JP2003318158A true JP2003318158A (ja) 2003-11-07
JP2003318158A5 JP2003318158A5 (ja) 2006-06-08
JP4634697B2 JP4634697B2 (ja) 2011-02-16

Family

ID=28678114

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003113544A Expired - Fee Related JP4634697B2 (ja) 2002-04-19 2003-04-18 プラズマ処理装置及び方法
JP2009180614A Expired - Fee Related JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Pending JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2009180614A Expired - Fee Related JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Pending JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Country Status (6)

Country Link
US (4) US7013834B2 (ja)
EP (2) EP2287886B1 (ja)
JP (3) JP4634697B2 (ja)
AT (1) ATE515055T1 (ja)
SG (3) SG137728A1 (ja)
TW (1) TWI267137B (ja)

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
JP2010502016A (ja) * 2006-08-22 2010-01-21 ノードソン コーポレーション 処理システムでワークピースを扱うための装置及び方法
JP2014013899A (ja) * 2004-06-30 2014-01-23 Applied Materials Inc フォトマスクプラズマエッチングの為の方法および装置
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP2015507702A (ja) * 2012-02-03 2015-03-12 ユ−ジーン テクノロジー カンパニー.リミテッド 側方排気方式基板処理装置
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
WO2015079514A1 (ja) * 2013-11-27 2015-06-04 株式会社ニレコ エッジ位置検出センサ
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR20220091121A (ko) * 2020-12-23 2022-06-30 광운대학교 산학협력단 초음속 아크 플라즈마 발생 시스템

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4281692B2 (ja) * 2005-02-15 2009-06-17 パナソニック株式会社 プラズマ処理装置
US7455735B2 (en) * 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4961223B2 (ja) * 2007-01-31 2012-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置の圧力制御方法
GB0708346D0 (en) * 2007-04-30 2007-06-06 Attana Ab Sensor
FR2921538B1 (fr) * 2007-09-20 2009-11-13 Air Liquide Dispositifs generateurs de plasma micro-ondes et torches a plasma
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US9549654B1 (en) 2007-10-26 2017-01-24 Designetics, Inc. Fluid applicator
US8372238B2 (en) * 2008-05-20 2013-02-12 Nordson Corporation Multiple-electrode plasma processing systems with confined process chambers and interior-bussed electrical connections with the electrodes
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
WO2011090397A1 (en) * 2010-01-20 2011-07-28 Inano Limited Method for plasma deposition of polymer coatings and apparatus
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
KR101136728B1 (ko) * 2010-10-18 2012-04-20 주성엔지니어링(주) 기판처리장치와 그의 분해 및 조립방법
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN103797420A (zh) * 2011-09-12 2014-05-14 迈普尔平版印刷Ip有限公司 具有基底板的真空腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
JP6068491B2 (ja) 2011-11-08 2017-01-25 インテヴァック インコーポレイテッド 基板処理システムおよび基板処理方法
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9698386B2 (en) 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US8281917B1 (en) * 2012-05-23 2012-10-09 Paradigm Circuit Solutions Inc. System and method to automate transport of electronic devices on an assembly line for testing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9385017B2 (en) * 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US9147550B2 (en) * 2012-12-03 2015-09-29 Advanced Ion Beam Technology, Inc. Gas mixture method and apparatus for generating ion beam
WO2014100506A1 (en) * 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
TWI474368B (zh) * 2012-12-27 2015-02-21 Metal Ind Res & Dev Ct 電漿處理系統及其射頻阻抗匹配裝置
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
TWM526176U (zh) * 2014-11-21 2016-07-21 應用材料股份有限公司 腔室蓋裝置、傳送腔室裝置及用於提升蓋的裝置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104803196A (zh) * 2015-04-13 2015-07-29 京东方科技集团股份有限公司 一种真空管路系统
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR101930829B1 (ko) * 2017-03-31 2018-12-19 (주)얼라이드 테크 파인더즈 챔버 유니트가 구비된 반도체 공정 장치
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107326340B (zh) * 2017-08-29 2023-06-13 京东方科技集团股份有限公司 成膜设备
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
RU2660677C1 (ru) * 2017-11-27 2018-07-09 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Сильноточный источник пучков ионов на основе плазмы электронно-циклотронного резонансного разряда, удерживаемой в открытой магнитной ловушке
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11127610B2 (en) * 2019-01-04 2021-09-21 Lam Research Corporation Split chamber assembly
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110629205B (zh) * 2019-10-29 2024-02-13 苏州创瑞机电科技有限公司 气相沉积炉、其使用方法及气相沉积系统
DE102019132314B4 (de) * 2019-11-28 2022-03-03 Infineon Technologies Ag Package mit Einkapselung unter Kompressionsbelastung
KR20230048543A (ko) * 2020-08-28 2023-04-11 매슨 테크놀로지 인크 이동가능한 인서트를 갖는 플라즈마 스트립 툴
CN116544163B (zh) * 2023-07-04 2023-09-08 四川明泰微电子有限公司 一种引线框架输送装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729893A (ja) * 1993-07-14 1995-01-31 Nissin Electric Co Ltd Lep電極支持機構
JPH10107062A (ja) * 1996-09-27 1998-04-24 Matsushita Electric Ind Co Ltd プラズマクリーニング装置、プラズマクリーニング方法及び回路モジュールの製造方法
WO2001005197A2 (en) * 1999-07-13 2001-01-18 Nordson Corporation High-speed symmetrical plasma treatment system

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH01302726A (ja) 1988-02-10 1989-12-06 Japan Synthetic Rubber Co Ltd 反応性イオンエッチング装置
EP0339554A3 (de) 1988-04-26 1989-12-20 Hauzer Holding B.V. Hochfrequenz-Ionenstrahlquelle
US4950376A (en) 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JPH02244624A (ja) 1989-03-16 1990-09-28 Tokyo Electron Ltd プラズマ処理装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2794963B2 (ja) * 1991-02-25 1998-09-10 松下電器産業株式会社 ドライエッチング方法およびドライエッチング装置
JPH04290226A (ja) * 1991-03-19 1992-10-14 Matsushita Electric Ind Co Ltd プラズマ発生方法及びその装置
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5311103A (en) * 1992-06-01 1994-05-10 Board Of Trustees Operating Michigan State University Apparatus for the coating of material on a substrate using a microwave or UHF plasma
US5462629A (en) 1992-08-28 1995-10-31 Kawasaki Steel Corp. Surface processing apparatus using neutral beam
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3147137B2 (ja) 1993-05-14 2001-03-19 セイコーエプソン株式会社 表面処理方法及びその装置、半導体装置の製造方法及びその装置、並びに液晶ディスプレイの製造方法
JPH07106097A (ja) 1993-10-12 1995-04-21 Ulvac Japan Ltd プラズマ処理装置
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5779926A (en) 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
GB2295485B (en) 1994-11-19 1998-10-07 Atomic Energy Authority Uk ion beam extraction and accelerator electrode structure
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5823416A (en) * 1995-07-28 1998-10-20 Matsushita Electric Industrial Co., Ltd. Apparatus and method for surface treatment, and apparatus and method for wire bonding using the surface treatment apparatus
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
JP3536585B2 (ja) * 1997-04-25 2004-06-14 松下電器産業株式会社 ワークのプラズマ処理装置およびプラズマ処理方法
US6143124A (en) * 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
KR20010032824A (ko) 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3364830B2 (ja) 1998-06-09 2003-01-08 株式会社日立製作所 イオンビーム加工装置
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
KR100596822B1 (ko) 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
US6165313A (en) * 1999-04-14 2000-12-26 Advanced Micro Devices, Inc. Downstream plasma reactor system with an improved plasma tube sealing configuration
EP1198610A4 (en) * 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
US6331227B1 (en) 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
US6328847B1 (en) * 2000-01-19 2001-12-11 Advanced Micro Devices, Inc. Downstream plasma reactor system incorporating a plasma-resistant blocking member
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6427623B2 (en) * 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP2002083803A (ja) 2000-09-07 2002-03-22 Yac Co Ltd エッチング装置やアッシング装置といったようなドライプロセッシング装置
US20020038791A1 (en) 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
KR100401544B1 (ko) 2001-02-06 2003-10-17 삼성전자주식회사 반도체 공정에 사용되는 가스를 제공하는 방법 및 장치그리고 이를 갖는 가공 장치
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP4672169B2 (ja) 2001-04-05 2011-04-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3842159B2 (ja) 2002-03-26 2006-11-08 株式会社半導体エネルギー研究所 ドーピング装置
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP2004056174A (ja) 2002-07-16 2004-02-19 Sharp Corp コード構造及びコード読み取り端末
US20040040658A1 (en) 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US8048328B2 (en) 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050252447A1 (en) 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
KR100655079B1 (ko) 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
JP2007242777A (ja) 2006-03-07 2007-09-20 Hitachi High-Technologies Corp プラズマエッチング装置及びプラズマエッチング方法
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP7029893B2 (ja) 2017-07-07 2022-03-04 Nok株式会社 密封装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729893A (ja) * 1993-07-14 1995-01-31 Nissin Electric Co Ltd Lep電極支持機構
JPH10107062A (ja) * 1996-09-27 1998-04-24 Matsushita Electric Ind Co Ltd プラズマクリーニング装置、プラズマクリーニング方法及び回路モジュールの製造方法
WO2001005197A2 (en) * 1999-07-13 2001-01-18 Nordson Corporation High-speed symmetrical plasma treatment system

Cited By (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
JP2011205135A (ja) * 2004-02-26 2011-10-13 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
JP2014013899A (ja) * 2004-06-30 2014-01-23 Applied Materials Inc フォトマスクプラズマエッチングの為の方法および装置
JP2010502016A (ja) * 2006-08-22 2010-01-21 ノードソン コーポレーション 処理システムでワークピースを扱うための装置及び方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP2015507702A (ja) * 2012-02-03 2015-03-12 ユ−ジーン テクノロジー カンパニー.リミテッド 側方排気方式基板処理装置
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
JPWO2015079514A1 (ja) * 2013-11-27 2017-03-16 株式会社ニレコ エッジ位置検出センサ
WO2015079514A1 (ja) * 2013-11-27 2015-06-04 株式会社ニレコ エッジ位置検出センサ
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
KR20220091121A (ko) * 2020-12-23 2022-06-30 광운대학교 산학협력단 초음속 아크 플라즈마 발생 시스템
KR102635502B1 (ko) * 2020-12-23 2024-02-07 광운대학교 산학협력단 초음속 아크 플라즈마 발생 시스템

Also Published As

Publication number Publication date
ATE515055T1 (de) 2011-07-15
SG125085A1 (en) 2006-09-29
EP2287886A1 (en) 2011-02-23
TW200403747A (en) 2004-03-01
US8623471B2 (en) 2014-01-07
JP2009260383A (ja) 2009-11-05
JP4634697B2 (ja) 2011-02-16
US20120118857A1 (en) 2012-05-17
SG137728A1 (en) 2007-12-28
US7013834B2 (en) 2006-03-21
US8613827B2 (en) 2013-12-24
US8480850B2 (en) 2013-07-09
US20030196760A1 (en) 2003-10-23
EP2287886B1 (en) 2016-01-06
EP1355342B1 (en) 2011-06-29
SG195392A1 (en) 2013-12-30
JP2010080984A (ja) 2010-04-08
US20050269031A1 (en) 2005-12-08
EP1355342A3 (en) 2006-05-17
EP1355342A2 (en) 2003-10-22
JP5373501B2 (ja) 2013-12-18
TWI267137B (en) 2006-11-21
US20100140223A1 (en) 2010-06-10

Similar Documents

Publication Publication Date Title
JP4634697B2 (ja) プラズマ処理装置及び方法
US6972071B1 (en) High-speed symmetrical plasma treatment system
US6162323A (en) Plasma processing apparatus
JP2014033206A (ja) プラズマ処理装置
US8337713B2 (en) Methods for RF pulsing of a narrow gap capacitively coupled reactor
TWI608515B (zh) Gas supply method and plasma processing apparatus
WO2005050723A1 (ja) プラズマ成膜装置及びプラズマ成膜方法
US20170040170A1 (en) Systems and Methods for Separately Applying Charged Plasma Constituents and Ultraviolet Light in a Mixed Mode Processing Operation
KR100924237B1 (ko) 플라즈마로 워크피스를 처리하기 위한 장치 및 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060418

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060418

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091225

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101027

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101119

R150 Certificate of patent or registration of utility model

Ref document number: 4634697

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131126

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees