JP2003318158A5 - - Google Patents

Download PDF

Info

Publication number
JP2003318158A5
JP2003318158A5 JP2003113544A JP2003113544A JP2003318158A5 JP 2003318158 A5 JP2003318158 A5 JP 2003318158A5 JP 2003113544 A JP2003113544 A JP 2003113544A JP 2003113544 A JP2003113544 A JP 2003113544A JP 2003318158 A5 JP2003318158 A5 JP 2003318158A5
Authority
JP
Japan
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003113544A
Other versions
JP4634697B2 (ja
JP2003318158A (ja
Filing date
Publication date
Priority claimed from US10/324,436 external-priority patent/US7013834B2/en
Application filed filed Critical
Publication of JP2003318158A publication Critical patent/JP2003318158A/ja
Publication of JP2003318158A5 publication Critical patent/JP2003318158A5/ja
Application granted granted Critical
Publication of JP4634697B2 publication Critical patent/JP4634697B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

JP2003113544A 2002-04-19 2003-04-18 プラズマ処理装置及び方法 Expired - Fee Related JP4634697B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US37401002P 2002-04-19 2002-04-19
US60/374010 2002-04-19
US10/324436 2002-12-20
US10/324,436 US7013834B2 (en) 2002-04-19 2002-12-20 Plasma treatment system

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2009180614A Division JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Division JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Publications (3)

Publication Number Publication Date
JP2003318158A JP2003318158A (ja) 2003-11-07
JP2003318158A5 true JP2003318158A5 (ja) 2006-06-08
JP4634697B2 JP4634697B2 (ja) 2011-02-16

Family

ID=28678114

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003113544A Expired - Fee Related JP4634697B2 (ja) 2002-04-19 2003-04-18 プラズマ処理装置及び方法
JP2009180614A Expired - Fee Related JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Pending JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2009180614A Expired - Fee Related JP5373501B2 (ja) 2002-04-19 2009-08-03 プラズマ処理システム
JP2009294576A Pending JP2010080984A (ja) 2002-04-19 2009-12-25 プラズマ処理システム

Country Status (6)

Country Link
US (4) US7013834B2 (ja)
EP (2) EP1355342B1 (ja)
JP (3) JP4634697B2 (ja)
AT (1) ATE515055T1 (ja)
SG (3) SG137728A1 (ja)
TW (1) TWI267137B (ja)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4281692B2 (ja) * 2005-02-15 2009-06-17 パナソニック株式会社 プラズマ処理装置
US7455735B2 (en) * 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing
WO2008024681A2 (en) * 2006-08-22 2008-02-28 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4961223B2 (ja) * 2007-01-31 2012-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置の圧力制御方法
GB0708346D0 (en) * 2007-04-30 2007-06-06 Attana Ab Sensor
FR2921538B1 (fr) * 2007-09-20 2009-11-13 Air Liquide Dispositifs generateurs de plasma micro-ondes et torches a plasma
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US9549654B1 (en) 2007-10-26 2017-01-24 Designetics, Inc. Fluid applicator
US8372238B2 (en) * 2008-05-20 2013-02-12 Nordson Corporation Multiple-electrode plasma processing systems with confined process chambers and interior-bussed electrical connections with the electrodes
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8674844B2 (en) * 2009-03-19 2014-03-18 Applied Materials, Inc. Detecting plasma chamber malfunction
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
WO2011090397A1 (en) * 2010-01-20 2011-07-28 Inano Limited Method for plasma deposition of polymer coatings and apparatus
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
KR101136728B1 (ko) * 2010-10-18 2012-04-20 주성엔지니어링(주) 기판처리장치와 그의 분해 및 조립방법
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
WO2013037802A1 (en) * 2011-09-12 2013-03-21 Mapper Lithography Ip B.V. Vacuum chamber with base plate
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013070978A2 (en) 2011-11-08 2013-05-16 Intevac, Inc. Substrate processing system and method
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9698386B2 (en) * 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US8281917B1 (en) * 2012-05-23 2012-10-09 Paradigm Circuit Solutions Inc. System and method to automate transport of electronic devices on an assembly line for testing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9385017B2 (en) * 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9147550B2 (en) * 2012-12-03 2015-09-29 Advanced Ion Beam Technology, Inc. Gas mixture method and apparatus for generating ion beam
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
MY178951A (en) * 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI474368B (zh) * 2012-12-27 2015-02-21 Metal Ind Res & Dev Ct 電漿處理系統及其射頻阻抗匹配裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JPWO2015079514A1 (ja) * 2013-11-27 2017-03-16 株式会社ニレコ エッジ位置検出センサ
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
TWM526176U (zh) * 2014-11-21 2016-07-21 應用材料股份有限公司 腔室蓋裝置、傳送腔室裝置及用於提升蓋的裝置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104803196A (zh) * 2015-04-13 2015-07-29 京东方科技集团股份有限公司 一种真空管路系统
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR101930829B1 (ko) * 2017-03-31 2018-12-19 (주)얼라이드 테크 파인더즈 챔버 유니트가 구비된 반도체 공정 장치
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107326340B (zh) * 2017-08-29 2023-06-13 京东方科技集团股份有限公司 成膜设备
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
RU2660677C1 (ru) * 2017-11-27 2018-07-09 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Сильноточный источник пучков ионов на основе плазмы электронно-циклотронного резонансного разряда, удерживаемой в открытой магнитной ловушке
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11127610B2 (en) * 2019-01-04 2021-09-21 Lam Research Corporation Split chamber assembly
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110629205B (zh) * 2019-10-29 2024-02-13 苏州创瑞机电科技有限公司 气相沉积炉、其使用方法及气相沉积系统
DE102019132314B4 (de) * 2019-11-28 2022-03-03 Infineon Technologies Ag Package mit Einkapselung unter Kompressionsbelastung
KR102635502B1 (ko) * 2020-12-23 2024-02-07 광운대학교 산학협력단 초음속 아크 플라즈마 발생 시스템
CN116544163B (zh) * 2023-07-04 2023-09-08 四川明泰微电子有限公司 一种引线框架输送装置

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPH0738384B2 (ja) 1986-03-18 1995-04-26 富士通株式会社 プラズマアツシング装置
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH01302726A (ja) 1988-02-10 1989-12-06 Japan Synthetic Rubber Co Ltd 反応性イオンエッチング装置
US5036252A (en) 1988-04-26 1991-07-30 Hauzer Holding Bv Radio frequency ion beam source
US4950376A (en) 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JPH02244624A (ja) 1989-03-16 1990-09-28 Tokyo Electron Ltd プラズマ処理装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2794963B2 (ja) * 1991-02-25 1998-09-10 松下電器産業株式会社 ドライエッチング方法およびドライエッチング装置
JPH04290226A (ja) * 1991-03-19 1992-10-14 Matsushita Electric Ind Co Ltd プラズマ発生方法及びその装置
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5311103A (en) * 1992-06-01 1994-05-10 Board Of Trustees Operating Michigan State University Apparatus for the coating of material on a substrate using a microwave or UHF plasma
US5462629A (en) 1992-08-28 1995-10-31 Kawasaki Steel Corp. Surface processing apparatus using neutral beam
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3147137B2 (ja) 1993-05-14 2001-03-19 セイコーエプソン株式会社 表面処理方法及びその装置、半導体装置の製造方法及びその装置、並びに液晶ディスプレイの製造方法
JPH0729893A (ja) * 1993-07-14 1995-01-31 Nissin Electric Co Ltd Lep電極支持機構
JPH07106097A (ja) 1993-10-12 1995-04-21 Ulvac Japan Ltd プラズマ処理装置
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5779926A (en) 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
GB2295485B (en) 1994-11-19 1998-10-07 Atomic Energy Authority Uk ion beam extraction and accelerator electrode structure
US5468955A (en) 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
TW323387B (ja) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5823416A (en) * 1995-07-28 1998-10-20 Matsushita Electric Industrial Co., Ltd. Apparatus and method for surface treatment, and apparatus and method for wire bonding using the surface treatment apparatus
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH10107062A (ja) * 1996-09-27 1998-04-24 Matsushita Electric Ind Co Ltd プラズマクリーニング装置、プラズマクリーニング方法及び回路モジュールの製造方法
US5795831A (en) 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6029602A (en) 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
JP3536585B2 (ja) * 1997-04-25 2004-06-14 松下電器産業株式会社 ワークのプラズマ処理装置およびプラズマ処理方法
US6143124A (en) * 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
WO1999029923A1 (en) 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
US6112696A (en) 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3364830B2 (ja) * 1998-06-09 2003-01-08 株式会社日立製作所 イオンビーム加工装置
JP2000100790A (ja) 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6700089B1 (en) 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
US6165313A (en) 1999-04-14 2000-12-26 Advanced Micro Devices, Inc. Downstream plasma reactor system with an improved plasma tube sealing configuration
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
EP1203513B1 (en) * 1999-07-13 2008-01-23 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
US6331227B1 (en) 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
US6328847B1 (en) 2000-01-19 2001-12-11 Advanced Micro Devices, Inc. Downstream plasma reactor system incorporating a plasma-resistant blocking member
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
KR100638916B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 및 그 유지 보수 방법
KR100767294B1 (ko) * 2000-06-23 2007-10-16 캐논 아네르바 가부시키가이샤 Cvd장치
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP2002083803A (ja) 2000-09-07 2002-03-22 Yac Co Ltd エッチング装置やアッシング装置といったようなドライプロセッシング装置
US20020038791A1 (en) 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
KR100401544B1 (ko) 2001-02-06 2003-10-17 삼성전자주식회사 반도체 공정에 사용되는 가스를 제공하는 방법 및 장치그리고 이를 갖는 가공 장치
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP4672169B2 (ja) 2001-04-05 2011-04-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3842159B2 (ja) 2002-03-26 2006-11-08 株式会社半導体エネルギー研究所 ドーピング装置
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP2004056174A (ja) 2002-07-16 2004-02-19 Sharp Corp コード構造及びコード読み取り端末
US20040040658A1 (en) 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US8048328B2 (en) 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050252447A1 (en) 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
KR100655079B1 (ko) 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
JP2007242777A (ja) 2006-03-07 2007-09-20 Hitachi High-Technologies Corp プラズマエッチング装置及びプラズマエッチング方法
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP7029893B2 (ja) 2017-07-07 2022-03-04 Nok株式会社 密封装置

Similar Documents

Publication Publication Date Title
BE2014C055I2 (ja)
BE2014C027I2 (ja)
BE2014C003I2 (ja)
BE2013C075I2 (ja)
BE2013C070I2 (ja)
BE2013C067I2 (ja)
BE2013C038I2 (ja)
BE2013C036I2 (ja)
BE2011C030I2 (ja)
BE2015C005I2 (ja)
BE2012C053I2 (ja)
JP2004213487A5 (ja)
JP2004228903A5 (ja)
BE2015C024I2 (ja)
AU2002316511A1 (ja)
AU2003207787A1 (ja)
AU2002327042A1 (ja)
AU2002331433A1 (ja)
AU2002332887A1 (ja)
AU2002333044A1 (ja)
AU2002337949A1 (ja)
AU2002339901A1 (ja)
AU2002340206A1 (ja)
AU2002348177A1 (ja)
AU2002351829A1 (ja)