WO2002043116A2 - Etching of high aspect ratio features in a substrate - Google Patents

Etching of high aspect ratio features in a substrate Download PDF

Info

Publication number
WO2002043116A2
WO2002043116A2 PCT/US2001/046210 US0146210W WO0243116A2 WO 2002043116 A2 WO2002043116 A2 WO 2002043116A2 US 0146210 W US0146210 W US 0146210W WO 0243116 A2 WO0243116 A2 WO 0243116A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
gas
magnetic field
electrode
Prior art date
Application number
PCT/US2001/046210
Other languages
French (fr)
Other versions
WO2002043116A3 (en
Inventor
Ajay Kumar
Anisul Khan
Alan Ouye
Ralph Wadensweiler
Ananda Kumar
Michael G. Chafin
Arnold Kholodenko
Dragan V. Podlesnik
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR10-2003-7006046A priority Critical patent/KR20030051765A/en
Priority to EP01987258A priority patent/EP1330839A2/en
Priority to JP2002544762A priority patent/JP2004529486A/en
Publication of WO2002043116A2 publication Critical patent/WO2002043116A2/en
Publication of WO2002043116A3 publication Critical patent/WO2002043116A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Definitions

  • the present invention relates to a chamber and method of etching a substrate.
  • a process gas or a plasma of the same is often used to process the substrate in a chamber.
  • material is formed on the substrate by, for example, a chemical vapor deposition (CVD), physical vapor deposition, ion implantation, oxidation or nitridation process.
  • CVD chemical vapor deposition
  • ion implantation ion implantation
  • oxidation or nitridation oxidation or nitridation process.
  • some of the substrate material which is generally in the form of a layer but may also have other shapes, may be processed, for example by etching, to form etched features shaped as cavities, channels, holes or trenches.
  • the aspect ratio of features increases proportionately.
  • the aspect ratio is the ratio of the opening size of the feature to its depth.
  • a high aspect ratio feature may have an aspect ratio of at least about 20, and the opening size of the features may be less than or about 0.18 microns.
  • isotropic etching it is meant that the sidewalls of the etched features are etched in a horizontal direction at excessive etching rates relative to the vertical rate of etching of the features, which may result in undesirably shaped features.
  • the present invention satisfies these needs.
  • the present invention comprises a substrate processing chamber comprising a gas supply to provide a gas to the chamber, first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power density of at least about 10 watts/cm 2 , and the second electrode comprising a receiving surface to receive a substrate and an exhaust to exhaust the gas.
  • Another aspect of the invention is a substrate processing method that comprises providing a substrate in a process zone, introducing a gas into the process zone, energizing the gas by applying electrical energy to an electrode below the substrate at a power density of at least about 10 watts/cm 2 , and exhausting the gas.
  • a substrate etching chamber comprises a substrate support, a gas supply to provide a gas to the chamber and an exhaust to exhaust the gas in the chamber, a gas energizer comprising first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm, a magnetic field generator adapted to provide a magnetic field of at least about 100 Gauss in the chamber, and a temperature control system adapted to control the temperatures of the substrate and chamber surfaces.
  • Another aspect of the invention comprises a substrate etching method comprising providing a substrate in a process zone of a chamber, introducing a gas into the process zone, energizing the gas by coupling electrical energy to the gas at a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm, applying a magnetic field of at least about 100 Gauss in the chamber, controlling the temperatures of the substrate and chamber surfaces, and exhausting the gas.
  • Another aspect of the invention comprises a substrate comprising etched features having an aspect ratio of at least about 30 and an opening size of less than about 0.14 ⁇ m.
  • Figures 1a and 1b are sectional schematic side views of a substrate before and after etching of high aspect ratio features in the substrate;
  • Figure 2 is a sectional schematic diagram of an apparatus according to the present invention showing a process chamber having electrodes, a magnetic field generator, and a controller;
  • Figure 3 is a schematic diagram of the magnetic field generator of Figure 2;
  • Figure 4 is a schematic diagram of another embodiment of a process chamber according to the present invention showing a chamber lid and fluid circulating liners;
  • Figure 5 is a partial sectional plan view of a chamber lid according to the present invention.
  • Figure 6 is an exploded side view of the chamber lid of Figure 5 along section line 6-6;
  • Figure 7 is a partial sectional plan view of another version of a chamber lid according to the present invention.
  • Figure 8 is a partial sectional plan view of a chamber liner according to the present invention.
  • Figure 9 is a partial sectional side view of the chamber liner of Figure 8 along section line 9-9;
  • Figure 10 is a partial sectional schematic diagram of another embodiment of a process chamber according to the present invention.
  • Figure 11 is a block diagram of a computer software program according to the present invention.
  • Figure 12 is a graph showing the rise in temperature of the second electrode for increasing power during an etching process
  • Figure 13a is a graph showing the change in width and critical dimension of the etched features for increasing power applied to the electrodes
  • Figure 13b is a graph showing the change in etch rate and aspect ratio of the etched features for increasing power applied to the electrodes;
  • Figure 13c is a graph showing the change in etch rate and etching selectivity of the etching process for increasing power applied to the electrodes;
  • Figure 14a is a graph showing the change in width and critical dimension of the etched features for increasing magnetic field strength
  • Figure 14b is a graph showing the change in etch rate and aspect ratio of the etched features for increasing magnetic field strength
  • Figure 14c is a graph showing the change in etch rate and etching selectivity of the etching process for increasing magnetic field strength.
  • the present invention is useful for processing a substrate 10 comprising an underlying substratum 12, which may comprise silicon, compound semiconductor, or dielectric; and one or more materials 22, 24, 26 on the substratum 12, such as for example, polysilicon, dielectric or conductor materials, as for example, illustrated in Figure 1a.
  • the substrate 10 may be etched, to form high aspect ratio features 29, such as trenches, holes or vias, in the materials 22, 24 as for example illustrated in Figure 1b.
  • holes and vias may be etched in silicon, polysilicon, or dielectric material, and as another example, trenches may be etched in conducting materials, such as a metal or inter-metallic compounds, for example, aluminum, copper, and metal suicides; or in dielectric materials, such as silicon dioxide, silicon nitride, or low k dielectric materials.
  • a material such as a photoresist material 28 may be used to protect regions of the substrate 10 where etching is not desired.
  • the invention is particularly useful for etching features 29 in the substrate
  • a substrate 10 comprising one or more materials on a substratum 12 may be etched to form etched features 29 having an aspect ratio of at least about 30 and even at least about 45.
  • the features 29 may also be etched with an opening size of less than about 0.1J microns, and which may even be less than about 0.14 microns or even 0.10 microns. Etching of the small opening sized features 29 is especially useful when the opening size is a critical dimension of the etched feature.
  • the features 29 may also be etched to a depth of at least about 8 microns.
  • the protective sidewall deposits 30 which may be formed during an etch process protect the sidewalls of the features 29 from isotropic etching.
  • the rate at which the features are etched may be at least about 0.8 ⁇ m/min.
  • the apparatus 50 comprises a process chamber 100 having one or more walls 52 that define a chamber volume 110, the walls 52 including for example, an annular sidewall 106, a bottom wall 108, a lid assembly 102 and that may also comprise one or more liners 104.
  • the chamber volume 110 is divided into a process zone 112 and a pumping 5 zone 114.
  • a robot 53 (shown in dashed lines in Figure 2) may be used to transfer a substrate 10 in and out of chamber 100 through a slit opening 139 having a slit valve door 70 that provides a continuous annular surface of the chamber 100 and that is vertically actuated via a pneumatic motor 72. While the invention is described with reference to the exemplary apparatus 50, it should be understood that the description 10 applies to other apparatus configurations which may be used to etch other substrates, deposit material on the substrate 10 by physical or chemical vapor deposition, or implant material on the substrate 10.
  • a gas such as for example a process gas
  • a gas supply 56 that includes a gas source 97, one or more gas lines 103 with valves 101 , and a gas distributor 111.
  • the gas distributor 111 may comprise a gas distribution plate 113 having gas outlets 98 through which gas may exit the gas distributor 111 , the gas distribution plate 113 may also serve as an electrode.
  • a controller 160 may be used to control the flow rate of the process gas, the gas pressure 0 and other process chamber functions.
  • Spent process gas and byproducts may be exhausted through an exhaust 114 connected to one or more exhaust pumps 109 via a throttle valve 60 that may be used to control the gas pressure in the chamber 100 to a suitable level, typically, from about 5 mTorr to about 1000 mTorr.
  • the pumping rate affects the formation of process residues formed on sidewalls of etched features (commonly known as sidewall polymer) and chamber walls, which in turn affects the quality of etching of high aspect ratio features in the substrate 10. It is believed that a higher pumping rate effectively removes excessive residue forming species within the 0 chamber volume, thereby reducing the formation of excessive process residues on the sidewalls of the etched features. Deposition of an optimal thickness of process residues on the sidewalls of the freshly etched features allows etching to proceed vertically into the substrate without excessive isotropic etching of the sidewalls of the features.
  • the exhaust 114 may comprise one or more pumps that have a sufficiently high pumping rate to reduce excessive deposition of process residue onto the substrate 5 10 and other surfaces of the chamber 100.
  • the exhaust 114 may comprise pumps 109 capable of exhausting gas from the chamber 100 at a rate that is equivalent to a rate of at least about 1600 liters/second for a chamber volume of about 25 liters.
  • the pumps 109 have a total pumping capacity or rate that is equivalent to a pumping rate of from about 1600 to about 1800 liters/second for a 10 chamber volume of about 25 liters.
  • An energized gas such as a plasma
  • a gas energizer 141 that couples electromagnetic energy, such as RF or microwave energy, to the gas.
  • the gas energizer 141 may comprise first and second electrodes
  • the first electrode 115 may be a ceiling or sidewall of the chamber 100.
  • the second electrode 105 is below the substrate 10 in a substrate support 124.
  • the second electrode 105 is made from an electrically conducting material, such as a metal, for example, aluminum, copper, gold, molybdenum, tantalum, titanium,
  • the second electrode 105 is planar and shaped and sized according to the shape and size of the substrate 10.
  • the second electrode 105 may be a mesh of electrically conducting wire (not shown) extending below substantially the entire substrate 10.
  • a dielectric 55 that is permeable to electromagnetic energy, such as RF energy, to allow energy applied to the electrode 105 to couple to the gas in the chamber 100 to sustain or energize a plasma of the gas. At least a portion of the dielectric 55 covers the electrode 105 and other portions may partially surround or entirely enclose the electrode 105.
  • the first and second electrodes 115, 105 are electrically biased by an RF voltage provided by an electrode voltage supply 150 via an impedance matching circuit 151 which approximately matches the impedance of the voltage supply 150 to the load impedance of the second electrode 105 in a selected frequency range.
  • the frequency of the RF voltage applied to the electrodes 115, 105 may be from about 50 KHz to about 60 MHz.
  • the voltage supply 150 may also be used to provide a DC chucking voltage to the electrode 105 to form electrostatic charge in the electrode that holds the substrate 10.
  • the DC voltage being typically from about 10 to about 2000 volts.
  • the voltage supply 150 can also be controlled by a controller 160 which may control the operation of the electrode 105.
  • the gas energizer 141 may include other versions, such as inductor antenna (not shown) having one or more coils that inductively couple RF energy to the chamber or a microwave generator coupled to the chamber via a microwave wave guide (also not shown).
  • the second electrode 105 may also be adapted to be electrically chargeable to electrostatically hold the substrate 10 by typically a DC voltage.
  • the second electrode 105 and the covering dielectric 55 are adapted to allow the second electrode 105 to be charged to a high power density which is the electrical power applied to the electrode 105 per unit area of substrate 14 to provide good etching of high aspect ratio features in the substrate 14.
  • the electrically biased second electrode 105 generates at least some electric field vector components which are substantially perpendicularly relative to the plane of the substrate 10.
  • the charged plasma ions of the energized gas are accelerated by these vertically-oriented field components to energetically impinge on the substrate 10.
  • the higher the magnitude of the electrical power density of the second electrode 105 the higher the apparent kinetic energy and directionality imparted to the charged plasma ions.
  • the second electrode 105 and covering dielectric 55 are adapted to sustain a power density of at least about 10 watts/cm 2 .
  • a suitable power level to be applied to the second electrode 105 is equivalent to a power level of at least about 3200 watts; and for a substrate having a diameter of about 30 cm (300 mm), a suitable power level is at least about 7000 watts.
  • the dielectric 55 covering the second electrode 105 is adapted to sustain these higher power levels without excessive current leakage to the surrounding plasma or to the other chamber components by tailoring its composition and thickness.
  • the dielectric 55 is manufactured to provide a room temperature 5 resistivity of from about 1 x 10 9 to about 1 x 10 13 ohms-cm, or even from about 1 x 10 10 to about 1 x 10 12 ohms-cm. These resistance values enhance the ability of the dielectric 55 to sustain the higher power levels applied to the second electrode 105, especially at elevated processing temperatures of from about 50 to about 90E C, both of which are desirable for the high aspect ratio etching process.
  • a suitable thickness for the dielectric 10 55 was determined to be from about 0.02 mm to about 2.00 mm, and may even be from about 0.05 mm to about 1.00 mm.
  • the dielectric 55 may be made from a ceramic material, such as for example, aluminum oxide, aluminum nitride, boron nitride, boron carbide, carbon, 5 cordierite, cerium oxide, diamond, mullite, silicon, silicon carbide, silicon nitride, silicon oxide, titanium oxide, titanium boride, titanium carbide, yttrium oxide, zirconium oxide, and mixtures and compounds thereof.
  • a ceramic material such as for example, aluminum oxide, aluminum nitride, boron nitride, boron carbide, carbon, 5 cordierite, cerium oxide, diamond, mullite, silicon, silicon carbide, silicon nitride, silicon oxide, titanium oxide, titanium boride, titanium carbide, yttrium oxide, zirconium oxide, and mixtures and compounds thereof.
  • aluminum nitride provides a high thermal conductivity of from about 80 to about 240 Watts/m K, good heat transfer rates, and good erosion resistance.
  • the dielectric 55 may also be fabricated as a monolith enclosing the second electrode 105, by freeze casting, injection molding, pressure-forming, thermal spraying, or sintering.
  • a ceramic material may be formed into a coherent mass having a porosity of 5 less than about 10% by applying a pressure at an elevated temperature.
  • Suitable pressure forming apparatus including autoclaves, platen presses, and isostatic presses, as for example described in U.S. Patent Application Serial No. 08/965,690, filed November 6, 1997, which is incorporated herein by reference in its entirety.
  • the plasma ion density and ion energy in the process zone 112 may be further enhanced by closely spacing the first and second electrodes 115, 105.
  • the second electrode 105 When the second electrode 105 is positioned a relatively short distance away from the first electrode 115, the magnitude of electric field vector between the two electrodes is relatively stronger because there is less resistance in the gas pathway between them.
  • the closely spaced electrodes 115, 105 couple energy more efficiently to the gas in the chamber 100.
  • the apparent kinetic energy of the plasma ions may also be higher because of the short separating distance between the electrodes 115, 105.
  • the smaller electrode spacing may provide a more laminar and less turbulent flow of process gas across the substrate 10, which would provide more uniform processing of the substrate surface.
  • the first and second electrodes 115, 105 are spaced apart a distance that is sufficiently small to maintain a substantially laminar flow of the gas therebetween, the spacing distance may be less than about 5 cm, and it may be even from about 1 cm to about 3 cm. This may be done by raising the second electrode 105 or lowering the first electrode 115 to achieve the desired spacing gap.
  • the magnetic field strength affects the protective sidewall deposits 30 formed on the freshly etched features 29 in the substrate 10.
  • the protective sidewall deposits 30 formed in the etching process become thicker, thereby resulting in less bowing in the profiles of the etched features 29.
  • the magnetic field strength may be controlled to optimize the profile of the etched features 29, especially as the depth of the features 29 increase or their opening size becomes smaller.
  • the magnetic field generator 292 can comprise an electromagnetic coil or permanent magnet.
  • Figures 2 and 3 schematically illustrate a version of a magnetic field generator 292 comprising electromagnets 295, 300, 305, 310 that are adjacent to the chamber 100.
  • the magnetic field formed in the chamber 100 is the vector sum of the magnetic fields produced by the electromagnets 295, 300, 305, 310, which depends upon their position relative to the process zone 112 and the electrical energy provided to each electromagnet.
  • the magnetic field generator 292 may be adapted to provide a magnetic field that is substantially perpendicular to the plane of the substrate 10 to confine the plasma to a process volume 112 above the substrate 10, a magnetic field that is parallel to the substrate surface, or a magnetic field that is rotated to "stir" the plasma ions in the process zone 112.
  • the magnetic field generator may comprise a jacket 307, 309 to circulate a fluid therein, as illustrated in Figure 10.
  • a heat transfer fluid may be supplied from a liner fluid source 121 (shown), conductor fluid source 61 or other fluid source.
  • the fluid is supplied to fluid jackets 307, 309, about the electromagnets 305, 310, 295 and 300, to control the magnet temperatures.
  • the heat transfer fluid maintains the electromagnets 305, 310, 295 and 300 at constant temperatures and circulation of the fluid can also reduce over-heating of the electromagnets when a large current is applied through the electromagnets. This increases the ability of the electromagnets to sustain a large current and thereby provide a high magnetic field strength to the chamber 100.
  • the increased magnetic field strength is desirable to provide enhanced etching of the etched features 29 in the substrate 10.
  • the magnetic field generator 292 generates a multidirectional magnetic field having an angular orientation and magnitude which are changed over time.
  • a magnetic field may be generated by a plurality of electromagnets 295, 300, 305, 310 (or permanent rotating magnets) which are positioned adjacent to the chamber 100.
  • An electromagnet power source varies the current applied to the electromagnets 295, 300, 305, 310 to generate a multi-directional magnetic field in the plasma zone.
  • the electromagnets may be paired to one another and positioned to generate a magnetic field that is substantially planar to the plane of the substrate 10.
  • the power source energizes the paired electromagnets in a predefined sequence for generating a magnetic field having independently varying angular orientation and magnitude.
  • the magnetic field generator 292 can also be disposed above the ceiling of the chamber and/or below the dielectric 55 having the second electrode 105 therein, as for example disclosed in U.S. Patent no. 5,255,024 which is incorporated herein by reference in its entirety.
  • the magnetic field generator 292 can comprise a plurality of movable permanent magnets positioned adjacent to the sidewall 106 of the chamber 100.
  • the magnets can be mounted on an armature (not shown) that is rotated in a circular or elliptical orbit, and/or in a linear manner to generate the multi-directional magnetic field in the process volume 112.
  • Suitable permanent magnets comprise ferromagnetic materials, such as nickel ferrite, cobalt ferrite, or barium ferrite.
  • the magnetic field generator 292 generates a magnetic field that is the vector sum of the magnetic fields produced by each electromagnet or permanent magnet, and that depends on their position relative to the chamber 100 and their mode of operation.
  • the magnetic field generator 292 is adapted to provide a magnetic field having components which are substantially parallel to the surface of the substrate 10 and symmetrical about an axis orthogonal to the substrate surface.
  • the E x B drift velocity imparted to electrons in the plasma is azimuthal and urges the electrons in the plasma sheath to travel in a circular path in a plane parallel to, and immediately above, the processing surface of the substrate 10.
  • the magnetic field generator 292 provides mutually perpendicular magnetic vectors B y and B x , respectively, which are generally parallel to the support and substrate 10, as for example, disclosed in commonly held U.S. patent no. 5,215,619, which is incorporated herein by reference in its entirety.
  • the magnetic field generator 292 may be operated by a controller 160 that applies control signals via lines 315, 320, 325, 330 to conventional power systems 335, 340, 345, 350 to control the magnitude and direction of the currents supplied over conductors 355, 360, 365, 370, respectively, to the electromagnets 295, 300, 305, 310.
  • the associated currents determine the direction and magnitude of the field generated by each electromagnet.
  • the controller 160 can be used to control oscillation of a set of permanent magnets of ferromagnetic material positioned in an armature that can be rotated in a circular/elliptical form or oscillated in a linear direction.
  • the controller 160 can independently solve the equations to obtain the associated magnetic field vectors B y and B x which provide the desired strength of field and orientation and then control application of the necessary electric currents to the electromagnets or control movement of the permanent magnets, to provide the desired magnetic field vectors B y and B x .
  • the angular orientation and magnitude of the magnetic field can be independently altered as quickly or as slowly as desired by changing the current in the electromagnets 295, 300, 305 and 310, or by rotational movement of the magnets.
  • the controller 160 may vary the time that the magnetic field is at each angular position, the direction of the angular stepping function, or the magnetic field intensity.
  • the magnetic field can be stepped around the substrate 10 using selected orientation and time increments.
  • the magnitude of the resultant field S 2 can be changed if the process conditions or chamber configuration require a constant field strength.
  • the magnetic field may be rotated at a slow rate of 2 to 5 seconds/revolution to increase etch uniformity 360° around the substrate 10.
  • the magnetic field generator 292 is adapted to provide a magnetic field that has major components which are substantially orthogonal to the plane of the substrate 10 (not shown). In yet another embodiment, the magnetic field generator 292 may be adapted to provide a magnetic field that has components which are at an angle to or curved across the space or volume of the process zone 112 and above the plane of the substrate 10 (not shown).
  • a temperature control system 400 is used to maintain substantially uniform temperatures across the substrate 10 and surfaces of the chamber 100, e.g., the interior wall 52 and surfaces about the substrate support 124, to achieve good etching of high aspect ratio features. It was discovered that both the etching profiles and the etching rates for etching the high aspect ratio features 29 are highly temperature dependent and may vary considerably when there are non-uniform or inconsistent temperatures across the substrate 10, across components in the chamber 100, or from one substrate to another. Etched features 29 having inconsistent depths or different shapes may result from such temperature variations.
  • a suitable substrate temperature is less than about 240EC and the variability in the temperatures across the substrate held is less than about 5EC; for example, the substrate 10 can be maintained in a temperature range of between about -40 to about 240 EC with a preferred operating temperature range of about 200 to about 240 EC.
  • the temperature control system 400 maintains uniform heat transfer rates across the substrate 10 by providing heat transfer gas, such as helium, at a plurality of pressures below the substrate 10.
  • heat transfer gas such as helium
  • the heat transfer gas may be provided from a heat transfer gas source 107 to a plurality of heat transfer gas outlets 117i,o in different zones 99i,o on the receiving surface of the dielectric 55.
  • the heat transfer gas facilitates heat transfer between the substrate 10 and the dielectric 55.
  • the space between the backside of the substrate 10 and a receiving surface 147 of the dielectric 55 are divided into two zones - an inner zone 99i and an outer zone 99o.
  • Separate flow controllers 107o and 107i are used to provide independent control of the gas flow rates to the outer and inner zones, respectively.
  • the separate gas flow controllers 107i and 107o allow the gas in each zone to be maintained at the same pressure or at different pressures.
  • the inner zone may be maintained at 10-16 Torr while the outer zone is maintained at 20 Torr.
  • the substrate 10 may be non-uniformly heated by the plasma in the chamber 100 and the dual zone heat transfer gas control can be used to render the substrate temperatures more uniform across the substrate 10.
  • the heat transfer gas pressure in the inner and outer zones may be adjusted so. that the temperature difference from the center to the peripheral edge of the substrate 10 is less than about 5EC, or remains nearly constant.
  • the inner and outer heat transfer gas zones 99i,o can also be operated to induce any thermal gradient that forms across substrate 10 during processing.
  • the heat transfer gas pressures in the inner and outer zones 99i,o may be adjusted so that the temperature in the center of the substrate 10 is greater than or less than the temperature at the peripheral edge of the substrate 10. This version is desirable when the substrate 10 is, for example, etched faster at the center than the peripheral edge, or when the substrate 10 is hotter at the center than at its peripheral edge.
  • the temperature control system 400 may further comprises a conductor 62 disposed below the dielectric 55 and above a support base 200 to further control heat transfer rates between the substrate 10 and support 124.
  • the conductor 62 is an electrically conducting element capable of transferring thermal energy to or from the substrate 10 via the dielectric 55 covering the electrode 105, which is in thermal contact with both the substrate 10 and conductor 62.
  • the conductor 62 may comprise one or more channels 71 that may be supplied with a temperature controlled heat transfer fluid from a conductor fluid source 61 via one or more fluid inlets 63.
  • the heat transfer fluid such as for example, a mixture of ethylene glycol and de-ionized water, circulates through the channels in the conductor 62 to maintain the temperatures of the conductor 62 at constant levels. For example, when the conductor 62 is heated to undesirably high temperatures during processing of the substrate, the heat transfer fluid supplied to the channels 71 cools the conductor 62 to lower its temperature and thereby provide more uniform heat transfer rates from the substrate 10.
  • the heat transfer fluid may also be temperature controlled by the controller 160 before it is supplied to the conductor 62, to maintain the substrate 10 at the desired temperature, for example, at a temperature of from about 80 to about 100 EC during the etching of trenches in polysilicon.
  • the conductor 62 may also be bonded or joined to the dielectric 55 by a bond layer 73, as shown in Figure 2, that is made from a material having a high and uniform thermal conductivity. Attaching the dielectric 55 to the conductor 62 maximizes heat transfer rates from the dielectric 55 to the fluid in the channel 71 of the conductor 62.
  • the bond layer 73 can comprise, for example a metal, such as aluminum, copper, indium or tin-lead alloys.
  • the bond layer 73 has a homogeneous composition that provides more uniform heat transfer rates across the substrate 10 and reduces variations in thermal impedance at the interface between the conductor 62 and the dielectric 55.
  • the bond layer 73 may also be compliant to provide a ductile interface that is capable of absorbing thermal stresses arising from any thermal expansion mismatch between the dielectric 55 and the conductor 62 without damaging the dielectric 55. While a metal-bonded joint provides more uniform heat transfer rates, it may be difficult for such a joint to withstand any thermal stresses arising from differences in thermal expansion coefficients of dissimilar materials. Thus, the bond layer 73 may be made from a compliant material that can absorb thermal stresses.
  • a suitable compliant 5 bonding material comprises Thermattach T412 (TM) commercially available from Chomerics, Inc.
  • Thermattach T412 comprises a high bond strength, pressure sensitive acrylic adhesive, mixed with titanium diboride and applied to an expanded aluminum carrier. The thermal performance of the bond layer 73 may be enhanced by the combination of filler, expanded metal and embossed surface.
  • the temperature control system 400 may further comprise one or more liners 104 that cover at least a portion of the chamber walls 52, as illustrated in Figure 4.
  • the chamber liner 104 comprises a first (upper) liner 134, a second (lower) liner 118, or both a first liner 134 and a second liner 118. Disposed within each
  • 15 chamber liner 104 is a passage 119 to hold a heat transfer fluid provided by a temperature controlled, fluid supply system, such as a liner fluid source 121.
  • the liner 104 may also be a unitary or removable structure to facilitate cleaning or removal of the liner 104.
  • the bottom chamber wall 108 has apertures 116 (only one of which is shown in Figure 4) that provide access to the second liner 118 from the exterior of the chamber
  • An O-ring 122 disposed in a groove 120 circumscribes each aperture 116.
  • the liners 104 may also be heated to reduce deposition of process residue on the liners 104 to control the amount of process residues that are present in the chamber 100, which in turn affects the quality of etching of the high aspect ratio
  • the heat transfer fluid from liner fluid source 121 may be passed through the liner 104 to heat the liner and thereby reduce the formation of process residues on the liner 104. Reducing the deposition of the residue on the chamber liner 104 may also reduce the amount of process residue which flake off of the liner 104 and deposit back onto the substrate 10.
  • the temperature control system 400 may also comprise one or more heaters adjacent to and abutting the liners 118, 134, for example, abutting the chamber ceiling 68, as for example, shown in Figures 1 and 4.
  • the heater 67 may be used in addition or as an alternative to the heat transfer fluid. passed through the liner 118, 134 to regulate the ceiling 68 temperature.
  • the heater 67 may comprise, for example, coils or heating elements, such as the heating coils 67 mounted above the lid assembly 102.
  • the heaters 67 may be operated to heat the ceiling 68 or liners 118, 134 to higher temperatures before or during processing of the substrate 10 to reduce temperature fluctuations in the chamber 100.
  • the temperature of the ceiling 52 - whose temperature may fluctuate between when a substrate 10 is being processed in the chamber 100 and the energized gas heats the ceiling 52, or when the substrate 10 is removed from the chamber 100 in between processing steps - may be regulated more precisely using the dual heater and fluid control method.
  • chamber ceiling 52 comprises an openable lid assembly 102 comprising a first liner 134 and a lid 202.
  • the first liner 134 has an outwardly extending flange 342 that rests upon the sidewall 106.
  • the lid assembly 102 is clamped to the sidewall 106 by a pair of clamps 206.
  • a first seal disposed between the sidewall 106 and first liner 134 (for example, an O-ring seal 302 disposed in a groove 304 in the sidewall 106) provides a vacuum seal between the first liner 134 and the sidewall 106.
  • a second seal (for example, an O-ring 306 disposed in a groove 308 in the lid 202) between the lid 202 and the first liner 134 provides a gas tight seal between those components.
  • a second seal for example, an O-ring 306 disposed in a groove 308 in the lid 202
  • the lid assembly 102 exerts a downward pressure on the second liner 118 when installed in the processing chamber 100.
  • the first liner 134 is fabricated from a thermally conductive material, for example, anodized aluminum, stainless steel, ceramic or other such compatible materials.
  • the first liner 134 comprises a center section 341 having a dish-shaped top surface 312 and a bottom surface 316.
  • the dish-shaped top surface 312 has a perimeter 314 that is connected to the outwardly extending flange 342.
  • Extending from the bottom surface 316 is a cylindrical wall 318.
  • the bottom surface 316 and wall 318 have exposed surfaces 343 that are exposed to the process volume 112.
  • the deposition of process residues on the first liner 134 is reduced by providing an exposed surfaces 343 comprise a relatively smooth surface having a peak to peak RMS surface roughness of less than about 32. It has been discovered that the relatively smooth surface is desirable because it reduces the amount of process material deposited on the liner 134 to reduce excessive process residue formation on the liner 134 and thereby improve etching of high aspect ratio features in the substrate 10. It is believed that the excessive accumulation of process residues near the substrate 10 results in the generation of higher concentrations of process residue forming species around the substrate 10, which causes the. deposition of excessive process residue amounts on the etched features of the substrate 10. The excessive residues result in poor etching of the high aspect ratio features.
  • Figures 5 and 6 show a version of a fluid passage in the first liner 134.
  • a perimeter 314 of the center section 341 contains a fluid passage 322 that may be formed by casting or drilling a number of intersecting holes 208 each of which are sealed by a plug 210.
  • Each end of the fluid passage 322 is connected to the top surface 312 by a bore 324.
  • Two bosses 326 protrude from a top surface 312 of the center section 341.
  • Each boss 326 has a center hole 328 that is fluidly coupled to the fluid passage 322 via the respective bore 324.
  • the fluid passage 322 receives fluid from the liner fluid source 121 and the fluid regulates the temperature of the first liner 134 by transferring heat from the fluid to the first liner 134. As the fluid is circulated through the first liner 134 from the liner fluid source 121 , the amount of heat provided to the first liner 134 is controlled, thus permitting the first liner 134 to be maintained at predetermined temperatures.
  • the fluid which may be liquid and/or gaseous fluid, is flowed through the fluid passage 322 to control the temperature of the first liner 134.
  • the fluid may be a liquid such as de-ionized water and/or ethylene glycol, or fluids, such as liquid or gaseous nitrogen or Freon (TM Dupont de Nemours, Wilmington, Delaware.
  • a lid assembly 202 may comprise a first fluid passage 322a and a second fluid passage 322b.
  • the first and second fluid passages 322a,b may share a common inlet 330i and a common outlet 330o as illustrated in Figure 7.
  • additional inlets and outlets may be utilized.
  • the first and second fluid passages 322 A and 322 B can also double back in a two tube pass configuration. Additional tube passes may alternatively be incorporated.
  • quick-connect fluid couplings are utilized to fluidly connect the liner fluid supply 121 and the first liner 134 to facilitate the rapid removal and replacement of the first liner 134 from the chamber 100.
  • a quick- connect 336 having a male pipe thread-form is threaded into a female thread-form in the center hole 328 of the boss 326.
  • the mating coupling 332 is affixed to the terminal end of a fluid supply line 334.
  • the fluid supply line 334 couples the passage 322 to the liner fluid supply 121.
  • the liner wall 318 is sized to slip inside the sidewall 106 with minimal clearance.
  • the liner wall 318 may vary in height, and may, when used without a second liner, extend to the chamber bottom 108.
  • the liners are shaped and sized to fit inside the chamber 100 to provide the compressive force required by the O-rings 122 to seal the second liner 118 to the chamber bottom 108 around the apertures 116 when the lid assembly 102 is clamped in place.
  • the liner wall 318 may additionally contain a number of other ports for various purposes. An example of such other ports is a substrate access port to align with the slit opening of the chamber 100.
  • the second liner 118 at least partially surrounds the bottom portion of the chamber volume 110.
  • the second liner may be adapted to provide a relatively hot, smooth surface within the chamber volume 110, that has a peak to peak surface RMS roughness of 32 to reduce the deposition of process material on the liner 118.
  • the second liner 118 has a fluid passage 119 in which fluid is provided from the liner fluid source 121 by a conduit 123. The fluid regulates the temperature of the second liner 118 by transferring heat from the fluid to the second liner 118. As the fluid is circulated through the second liner 118 from the liner fluid source 121 , the amount of heat provided to the second liner 118 is controlled, thus permitting the second liner 118 to be maintained at a predetermined temperature.
  • Figures 8 and 9 show a version of the second liner 118 comprising a base section 502 and an outer wall 506.
  • the interior surfaces 508 of the base section 502 and outer wall 506 are exposed to the pumping volume 114.
  • the second liner 118 may be fabricated from a thermally conductive material, for example, anodized aluminum, stainless steel, or other compatible material.
  • the base section 502 contains a fluid passage 119 that may be formed by, for example, casting or by milling a groove followed by plugging any open portion. Alternatively, fluid passage 119 may be formed by drilling intersecting blind holes and plugging the open ends of the holes as illustrated in Figure 8.
  • the fluid passage 119 is substantially circular, beginning and ending adjacent to an exhaust port 520 that is disposed through the second liner 118.
  • Each end of the fluid passage 119 terminates in a boss 510 that protrudes from an exterior surface of the base 502.
  • the boss 510 interfaces with the apertures 116 in the bottom wall 108 and ensures the proper orientation of the second liner 118 in the chamber 100 (i.e., all ports align).
  • quick-connect fluid couplings are utilized between the second liner 118 and a conduit 123 that fluidly couples the passage 119 to the liner fluid source 121.
  • a quick-connect 512 having a male pipe thread-form threaded into a female thread-form in the boss 510 or an SAE port coupled with an O-ring is used.
  • a mating coupling 514 is affixed to the terminal end of a conduit 123 coupled to the fluid supply 121.
  • the outer wall 506 is generally cylindrical and is sized to define a minimal gap with the chamber walls. The outer wall 506 may vary in height, particularly if a first liner 134 is also utilized as described above.
  • the outer wall 506 additionally contains the exhaust port 520 that aligns with the pumping port 138.
  • the exhaust port 520 may partially encompass a portion of the base wall 108.
  • the exhaust port 520 provides fluid access of gases in the pumping volume 114 to the throttle valve 60 and pumps 109.
  • the outer wall 506 may additionally contain a number of other ports for various purposes.
  • An example of such other ports is a substrate access port 526 that aligns with a slit opening 139 in the sidewall 106 to allow transfer of substrate 10 in and out of the chamber 100.
  • An advantage of the liner configuration described above is that chamber down time for liner cleaning can be minimized by using a pair of liners 134, 118.
  • the clamps 206 are opened to release the lid assembly 102.
  • the respective liners are disconnected from the fluid source 121 by disconnecting the respective quick-connects.
  • the lid 202 and gas feedthrough 212 are separated from the first liner 134 and the first liner 134 is lifted out of the chamber 100. Once the first liner 134 is removed, the second liner 118 is similarly removed. Chamber down time is minimized by replacing the liners 134, 118.
  • the lid 202 and gas feedthrough 212 are positioned upon the replacement first liner 134.
  • the clamps 206 are closed, thus compressing the seals and sealing the chamber volume 110.
  • the respective replacement liners are reconnected to the fluid source 121, completing the liner change out procedure.
  • the removed liners can now be cleaned to eliminate accumulated byproducts and then prepared for re-installation into the chamber 100 the next time liner replacement is desired.
  • the base 200 of the support 124 may also comprise one or more heat transfer fluid conduits 201 through which the heat transfer fluid may flow to control the temperatures in the chamber.
  • the conduits 201 may be disposed about the perimeter of the base 200 to transfer heat between surfaces of the support 124 and the heat transfer fluid within the conduits 201.
  • the temperature controlled base 201 may ensure that the temperatures of surfaces about the support 124 remain high enough to substantially prevent the deposition of process residues onto the surfaces.
  • a temperature controlled liner 104 and support base 200 5 The operation of a temperature controlled liner 104 and support base 200 5 according to the present invention is illustrated in Figure 10.
  • the temperature of the first liner 134 and second liner 118 are controlled by flowing fluid through the passages 119 and 322 within the respective liners 118 and 134, from the liner fluid source 121.
  • the heat transfer fluid may be combined into a single conduit before flowing into the heat transfer fluid conduits
  • the heat transfer fluid serves to regulate the temperature of the liners 118 and 134 and the base 200 by transferring heat between the liners 118 and 134 and base 200 and the fluid.
  • the temperature and flow rate of fluid from the liner fluid source 121 may be controlled to regulate the heat transferred by the heat transfer fluid to the liners 118 and 134 and
  • a user may provide a set point for the temperatures of the liner wall 118, 134 and base 200, for example, into the controller 160 which would regulate the amount and temperature of fluid output by the liner fluid source 121 to maintain the user inputted set-point.
  • a suitable liner temperature which may reduce the deposition of process residue on the liners 104 may be from about 50 to about 70 EC. 0
  • the components of the temperature control system 400 including the dielectric 55 having a multiple zone backside heat transfer gas, the support base 200 comprising heat transfer fluid conduits 201 , the conductor 62, and bond layer 73, fluid circulating liners 104 and heaters 67, are capable of controlling the temperatures of the 5 substrate 10 and surfaces of the chamber 100 as well as maintaining the substrate 10 at uniform temperatures, for example, by removing heat generated during plasma processing of the substrate 10.
  • the heat transfer efficiency provided by this temperature control system 400 may be used to ensure that the high RF power levels and magnetic fields applied in the chamber may be sustained for long periods of time, even for RF 0 power levels at or above 3200 Watts and magnetic fields greater than 100 Gauss.
  • the aspects the present chamber 100 cooperate to provide high aspect ratio etching of features 29 on the substrate 10 at good etch rates.
  • the gas energizer 141 provides a sufficiently high power density such that the features 29 may be highly energetically etched.
  • the magnetic field generator 292 maintains good feature profile by providing a sufficiently high magnetic field strength within the chamber 100.
  • the temperature control system 400 provides suitable temperatures in the chamber for the etching of high aspect ratio features on the substrate 10 at good etch rates, for example by controlling the temperature of the substrate 10.
  • the temperature control system 400 also enhances the etching of high aspect ratio features 29 at good etch rates by reducing the amount of process residue deposited on surfaces of the chamber 100.
  • the temperature control system 400 may heat the liners 104 to reduce deposition of the process residue onto surfaces of the liners 104.
  • the liners 104 may also comprise a relatively smooth surface which does not promote adhesion of the process residue to the surfaces of the liners 104.
  • the exhaust 114 aids in the etching of high aspect ratio features 29 at good etch rates by removing process residues from the chamber 100 at a sufficiently high rate to reduce the deposition of process residue onto surfaces of the chamber 100.
  • the reduced deposition of process residues onto surfaces of the chamber provides for high aspect ratio etching at good etch rates by reducing the amount of process residue which flake off of the surfaces and deposits back onto the substrate 10.
  • the components of the chamber 100 cooperate to provide a suitable chamber environment in which the etching of high aspect ratio features on the substrate 10 at good etch rates may be achieved.
  • the chamber 100 may be operated by a controller 160 comprising a central processor unit (CPU) 174, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 193 and peripheral computer components, as shown in Figure 2.
  • the memory 193 comprises a computer-readable medium having the computer-readable program 189 embodied therein.
  • the memory 193 may include a hard drive 187, a CD or floppy drive 188, and random access memory 172.
  • the controller 100 may further comprise a plurality of interface cards including, for example, analog and digital input and output boards, interface boards, and motor controller boards.
  • the interface between an operator and the controller 160 can be, for example, via a display 190 and a light pen 194.
  • the light pen 194 detects light emitted by the monitor display 190 with a light sensor in the tip of the light pen 194.
  • the operator touches a designated area of a screen on the monitor 190 and pushes the button on the light pen 194.
  • the area touched changes color, or a new menu is displayed, confirming communication between the user and the controller 160.
  • the computer-readable program 189 may be stored in the memory 193, or it may be a computer program product stored on the CD or floppy disk drive 188 or other appropriate drive, or stored on the hard drive 187.
  • the computer readable program 189 generally comprises process control software 533 comprising program code to operate the chamber 100 and its components, process monitoring software to monitor the processes being performed in the chamber 100, safety systems software, and other control software, as for example, illustrated in Figure 11.
  • the computer- readable program 189 may be written in any conventional computer-readable programming language, such as for example, assembly language, C ++ , Pascal, or Fortran. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in computer-usable medium of the memory 193.
  • the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines.
  • the user invokes the object code, causing the CPU 174 to read and execute the code to perform the tasks identified in the program.
  • FIG 11 is an illustrative block diagram of a hierarchical control structure of a specific embodiment of a computer readable program 189 according to the present invention.
  • a user Using a light pen interface, a user enters a process set and chamber number into a process selector program 530 in response to menus or screens displayed on the CRT terminal.
  • the process chamber program 533 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, support position, heater temperature, magnetic field generation and other parameters of a particular process.
  • the process sets are predetermined groups of process parameters necessary to carry out specified processes.
  • the process parameters are process conditions, including without limitations, gas composition, gas flow rates, temperature, pressure, gas energizer settings such as RF or microwave power levels, magnetic field generation, heat transfer gas pressure, and wall temperature.
  • the process sequencer program 531 comprises program code to accept 5 a chamber type and set of process parameters from the process selector program 530 and to control its operation.
  • the sequencer program 531 initiates execution of the process set by passing the particular process parameters to a chamber manager program 532 that controls multiple processing tasks in the process chamber 100.
  • the process chamber program 533 includes a substrate positioning program
  • the substrate positioning program 534 comprises program code for controlling chamber components that are used to load the substrate 10 onto the support 124 and optionally, to lift the substrate 10 to a desired
  • the process gas control program 535 comprises program code for controlling the flow rates of different constituents of the process gas.
  • the process gas control program 535 controls the open/close position of the safety shut-off valves, and also ramps up/down the gas flow controllers 107o, 107i to obtain the desired gas flow rate.
  • the pressure control program 536 comprises program code for controlling 0 the pressure in the chamber 100 by regulating the opening size of the throttle valve 60 in the exhaust system 110 of the chamber 100.
  • the gas energizer control program 537 comprises program code for setting RF power levels applied to the process electrodes 115, 105 in the chamber 100.
  • the temperature control system control comprises program code for controlling temperatures in the chamber 106.
  • the 5 temperature control system control may set the temperatures or flow rates of the heat transfer fluid and heat transfer gas to obtain desired predetermined temperatures of chamber components, for example the chamber liners 104 or the support 124.
  • the magnetic field generator program 544 comprises program code for controlling the magnetic field generator 292, for example to set the strength of the magnetic field 0 applied to the chamber 100.
  • the data signals, received by and/or evaluated by the controller 160 may be sent to a factory automation host computer 191.
  • the factory automation host computer 191 may comprise a host software program 192 that evaluates data from several systems, platforms or chambers 100, and for batches of substrates 10 or over an extended period of time, to identify statistical process control parameters of (i) the processes conducted on the substrates 10, (ii) a property that may vary in a statistical relationship across a single substrate 10, or (iii) a property that may vary in a statistical relationship across a batch of substrates 100.
  • the host software program 192 may also use the data for ongoing in-situ process evaluations or for the control of other process parameters.
  • a suitable host software program comprises a WORKSTREAMTM software program available from aforementioned Applied Materials.
  • the factory automation host computer 191 may be further adapted to provide instruction signals to (i) remove particular substrates 10 from the processing sequence, for example, if a substrate property is inadequate or does not fall within a statistically determined range of values, or if a process parameter deviates from an acceptable range; (ii) end processing in a particular chamber 100, or (iii) adjust process conditions upon a determination of an unsuitable property of the substrate 10 or process parameter.
  • the factory automation host computer 191 may also provide the instruction signal at the beginning or end of processing of the substrate 10 in response to evaluation of the data by the host software program 192.
  • the substrate 10 was placed on the substrate support 124 and the support 124 was heated or cooled by passing heat transfer fluid though the channels 171 of the conductor 62 in the support 124.
  • the substrate 10 was allowed to equilibrate to the temperature of the chamber 100, and the pressure in the chamber 100 was set by regulating the opening size of the throttle valve 60 in the exhaust 110.
  • process gas comprising the equivalent oMOO seem of HBr, 18 seem of NF 3 and 36 seem of HeO 2i for a chamber volume of about 25 liters, was introduced into the chamber 100. Thereafter, an RF voltage was applied to the electrode 105 at a RF power level.
  • the magnetic field generator 292 applied a magnetic field within the chamber 100.
  • the substrate 10 was cooled by helium heat transfer gas 107 introduced to the substrate receiving surface 147 by heat transfer gas controllers 107i and 107o at different pressures.
  • Figure 12 shows the change in temperature of the electrode 105 with increasing RF power applied to the electrode 105.
  • the rise in electrode temperature was measured to be 6.8EC at an RF power level of 1800 Watts, 8.5EC at 2600 Watts, and 13.6EC at 3490 Watts.
  • the electrode temperature, and hence the substrate temperature was determined to rise as a function of the RF power level.
  • the equation describing this function was determined to be a polynomial function of the RF power level applied to the electrodes 105, 118.
  • Figures 13a through 13c demonstrate the effect of the RF power level on the profile of etched features 29 and the rate at which the features 29 are etched. Etch processes were performed on a substrate 10 as described above, with a chamber pressure of 170 mTorr, magnetic field strength of 100 Gauss, an electrode temperature of 90EC, and RF power levels of 1250, 1450 and 1600 Watts.
  • Figure 13a shows the trench widths and critical dimensions obtained for increasing RF power level. Increasing the RF power level generally increased the average profile width and the average critical dimension of the top portion of the etched feature.
  • FIG. 13b shows the etch rates and aspect ratios obtained for increasing RF power level.
  • the etch rate increased and the aspect ratio decreased for increasing RF power level.
  • the average etch rate increased from 0.72 ⁇ m/min at 1250 Watts to 0.82 ⁇ m/min at 1600 Watts.
  • An average aspect ratio of 36.4 was produced for an RF power level of 1250 Watts whereas an average aspect ratio of 33.2 was produced with an RF power level of 1600 Watts.
  • Figure 13c depicts the etch rates and selectivities obtained for increasing RF power level.
  • the average selectivity increased from 9.8 at 1250 Watts to 11.2 at 1600 Watts. These results generally show that increasing the RF power increases the average etch rate while still maintaining good etching selectivity. However, the increase in RF power level also yielded undesirably larger trench widths and lower aspect ratios, which it is believed is due in part to the dependence of the wafer temperature on the RF power level. It is desirable, therefore, to use increasing RF power levels in etch processes to increase the etch rate. However, other process parameters must be optimized at the selected RF power level to obtain the high aspect ratio features 29.
  • FIGs 14a through 14c illustrate the effect of increasing the strength of the magnetic field in an etching process. Etching processes were conducted with chamber pressures of 130, 135, or 140 mTorr, an RF power level of 1250 Watts, a cathode temperature of 90EC and a magnetic field strength of 80 or 100 Gauss.
  • Figure 14a shows the trench widths and critical dimensions obtained for increasing magnetic field strengths. The average widths of the etched features 29 were found to decrease from an average width of 0.18 ⁇ m at 80 Gauss to an average width of 0.16 ⁇ m at 100 Gauss.
  • the critical dimensions of the etched features 29 were found to decrease from an average critical dimension of 0.18 ⁇ m at 80 Gauss to an average 5 critical dimension of 0.16 ⁇ m at 100 Gauss.
  • Figure 14b shows the etch rates and aspect ratios obtained for increasing magnetic field strength.
  • the average feature etching rate was found to increase from 0.67 ⁇ m/min at 80 Gauss to 0.73 ⁇ m/min at 100 Gauss. This increase in the average etch rate was accompanied by a decrease in the aspect ratio of the etched feature.
  • the average aspect ratio at 80 Gauss was measured at
  • Figure 14c shows the etch rates and selectivities obtained for increasing magnetic field strength. The average selectivity is seen to increase from 10.4 at 80 Gauss to 11.2 at 100 Gauss. Thus, using a high magnetic field strength can increase the etch rate while maintaining good etch profiles, high aspect ratios, and narrow trench widths. It is
  • etch processes were conducted at an RF power level of 900 Watts while the electrode was maintained at a temperature of 90EC, the chamber pressure was maintained at 200 mTorr, the helium pressure was maintained at 14 Torr on a support 124 comprising only a single heat transfer gas zone 99, and the magnetic field was maintained at a high level of 100 Gauss.
  • etch processes were conducted at an RF power level of 1800 Watts while the electrode was maintained at 90EC, the chamber pressure was maintained at 230 mTorr, the helium inner and outer outlet pressures were maintained at 14 and 20 Torr, respectively, and the magnetic field was maintained at a high level of 100 Gauss.
  • Example 17 an etch process was conducted at an RF power level of 1800 Watts while the electrode was maintained at 90EC, the chamber pressure was maintained at 200-230 mTorr, the helium inner and outer outlet pressures were maintained at 6 to 14 and 15 to 20 Torr, respectively, and the magnetic field was maintained at a high level of 100 Gauss.
  • Example 18 an etch process was conducted at an RF power level of 2600 Watts while the electrode was maintained at 70EC, the chamber pressure was maintained at 230 mTorr, the helium inner and outer outlet pressures were maintained at 10 to 16 and 20 Torr, respectively, and the magnetic field was maintained at a high ⁇ evel of 100 Gauss.
  • the etch process is conducted at an electrode temperature of
  • An apparatus 50 and process according to the present invention provides 30 good etching of high aspect ratio features 29 on a substrate 10, with good etching profiles, and consistent etching rates.
  • the apparatus 50 is capable of applying a high RF power level while providing a high strength magnetic field and good temperature control. Processing the substrate 10 at a high RF power level and in a strong magnetic field, while maintaining the substrate at a predefined temperature, allows etching of high aspect ratio features at good etching rates.
  • a high RF power level provides good etching rates for the high aspect ratio features and a high magnetic field strength maintains the small critical dimensions and opening sizes of the high aspect ratio features.
  • maintaining the substrate 10 at a predefined range temperatures improves the shape of the etched feature profiles.
  • the etching apparatus and process according to the present invention is capable of etching high aspect ratio features at a high etch rate and while maintaining a small opening size and a good profile of the etched features.

Abstract

A substrate processing chamber (110) comprisea a gas supply (56) to provide a gas to the chamber, first and second electrodes (115, 105) that may be electrically biased to energize the gas, the second electrode (115) being adapted to be chargeable to a power density of at least about 10 watts/cm2, and the second electrode (115) comprising a receiving surface (147) to receive a substrate (10), and an exhaust (110) to exhaust the gas.

Description

ETCHING OF HIGH ASPECT RATIO FEATURES IN A SUBSTRATE
BACKGROUND
The present invention relates to a chamber and method of etching a substrate.
In the fabrication of substrates, a process gas or a plasma of the same, is often used to process the substrate in a chamber. Typically, material is formed on the substrate by, for example, a chemical vapor deposition (CVD), physical vapor deposition, ion implantation, oxidation or nitridation process. Thereafter, some of the substrate material, which is generally in the form of a layer but may also have other shapes, may be processed, for example by etching, to form etched features shaped as cavities, channels, holes or trenches.
It is difficult to etch features having high aspect ratios into the substrate material, especially when the features also have small opening sizes. Generally, as advances in technology require more closely spaced features to provide faster or more efficient circuits, the aspect ratio of features, such as trenches, holes or vias, increases proportionately. The aspect ratio is the ratio of the opening size of the feature to its depth. A high aspect ratio feature may have an aspect ratio of at least about 20, and the opening size of the features may be less than or about 0.18 microns. However, it is difficult to etch high aspect ratio or small opening features without uncontrollable isotropic etching of the sidewalls of the feature. By isotropic etching it is meant that the sidewalls of the etched features are etched in a horizontal direction at excessive etching rates relative to the vertical rate of etching of the features, which may result in undesirably shaped features.
Thus, it is desirable to anisotropically etch features having high aspect ratios or small openings . It is also desirable to etch the features with controllable and consistent dimensions across the substrate. It is further desirable to etch the substrate at production worthy etching rates.
SUMMARY
The present invention satisfies these needs. In one aspect, the present invention comprises a substrate processing chamber comprising a gas supply to provide a gas to the chamber, first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power density of at least about 10 watts/cm2, and the second electrode comprising a receiving surface to receive a substrate and an exhaust to exhaust the gas.
Another aspect of the invention is a substrate processing method that comprises providing a substrate in a process zone, introducing a gas into the process zone, energizing the gas by applying electrical energy to an electrode below the substrate at a power density of at least about 10 watts/cm2, and exhausting the gas.
In another aspect of the invention, a substrate etching chamber comprises a substrate support, a gas supply to provide a gas to the chamber and an exhaust to exhaust the gas in the chamber, a gas energizer comprising first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm, a magnetic field generator adapted to provide a magnetic field of at least about 100 Gauss in the chamber, and a temperature control system adapted to control the temperatures of the substrate and chamber surfaces.
Another aspect of the invention comprises a substrate etching method comprising providing a substrate in a process zone of a chamber, introducing a gas into the process zone, energizing the gas by coupling electrical energy to the gas at a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm, applying a magnetic field of at least about 100 Gauss in the chamber, controlling the temperatures of the substrate and chamber surfaces, and exhausting the gas.
Another aspect of the invention comprises a substrate comprising etched features having an aspect ratio of at least about 30 and an opening size of less than about 0.14 Φm.
DRAWINGS
These and other features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate exemplary versions of the invention, where:
Figures 1a and 1b are sectional schematic side views of a substrate before and after etching of high aspect ratio features in the substrate;
Figure 2 is a sectional schematic diagram of an apparatus according to the present invention showing a process chamber having electrodes, a magnetic field generator, and a controller;
Figure 3 is a schematic diagram of the magnetic field generator of Figure 2;
Figure 4 is a schematic diagram of another embodiment of a process chamber according to the present invention showing a chamber lid and fluid circulating liners;
Figure 5 is a partial sectional plan view of a chamber lid according to the present invention;
Figure 6 is an exploded side view of the chamber lid of Figure 5 along section line 6-6; Figure 7 is a partial sectional plan view of another version of a chamber lid according to the present invention;
Figure 8 is a partial sectional plan view of a chamber liner according to the present invention;
Figure 9 is a partial sectional side view of the chamber liner of Figure 8 along section line 9-9;
Figure 10 is a partial sectional schematic diagram of another embodiment of a process chamber according to the present invention;
Figure 11 is a block diagram of a computer software program according to the present invention;
Figure 12 is a graph showing the rise in temperature of the second electrode for increasing power during an etching process;
Figure 13a is a graph showing the change in width and critical dimension of the etched features for increasing power applied to the electrodes;
Figure 13b is a graph showing the change in etch rate and aspect ratio of the etched features for increasing power applied to the electrodes;
Figure 13c is a graph showing the change in etch rate and etching selectivity of the etching process for increasing power applied to the electrodes;
Figure 14a is a graph showing the change in width and critical dimension of the etched features for increasing magnetic field strength;
Figure 14b is a graph showing the change in etch rate and aspect ratio of the etched features for increasing magnetic field strength; and Figure 14c is a graph showing the change in etch rate and etching selectivity of the etching process for increasing magnetic field strength.
DESCRIPTION
The present invention is useful for processing a substrate 10 comprising an underlying substratum 12, which may comprise silicon, compound semiconductor, or dielectric; and one or more materials 22, 24, 26 on the substratum 12, such as for example, polysilicon, dielectric or conductor materials, as for example, illustrated in Figure 1a. The substrate 10 may be etched, to form high aspect ratio features 29, such as trenches, holes or vias, in the materials 22, 24 as for example illustrated in Figure 1b. For example, holes and vias may be etched in silicon, polysilicon, or dielectric material, and as another example, trenches may be etched in conducting materials, such as a metal or inter-metallic compounds, for example, aluminum, copper, and metal suicides; or in dielectric materials, such as silicon dioxide, silicon nitride, or low k dielectric materials. A material such as a photoresist material 28 may be used to protect regions of the substrate 10 where etching is not desired.
The invention is particularly useful for etching features 29 in the substrate
10, especially when the features 29 have high aspect ratios. For example, a substrate 10 comprising one or more materials on a substratum 12 may be etched to form etched features 29 having an aspect ratio of at least about 30 and even at least about 45. The features 29 may also be etched with an opening size of less than about 0.1J microns, and which may even be less than about 0.14 microns or even 0.10 microns. Etching of the small opening sized features 29 is especially useful when the opening size is a critical dimension of the etched feature. The features 29 may also be etched to a depth of at least about 8 microns. The protective sidewall deposits 30 which may be formed during an etch process protect the sidewalls of the features 29 from isotropic etching. The rate at which the features are etched may be at least about 0.8 Φm/min.
An exemplary apparatus 50 according to the present invention that is suitable for processing the substrate 10 is illustrated in Figure 2. Generally, the apparatus 50 comprises a process chamber 100 having one or more walls 52 that define a chamber volume 110, the walls 52 including for example, an annular sidewall 106, a bottom wall 108, a lid assembly 102 and that may also comprise one or more liners 104. Generally, the chamber volume 110 is divided into a process zone 112 and a pumping 5 zone 114. A robot 53 (shown in dashed lines in Figure 2) may be used to transfer a substrate 10 in and out of chamber 100 through a slit opening 139 having a slit valve door 70 that provides a continuous annular surface of the chamber 100 and that is vertically actuated via a pneumatic motor 72. While the invention is described with reference to the exemplary apparatus 50, it should be understood that the description 10 applies to other apparatus configurations which may be used to etch other substrates, deposit material on the substrate 10 by physical or chemical vapor deposition, or implant material on the substrate 10.
A gas, such as for example a process gas, is introduced into the chamber 15 100 through a gas supply 56 that includes a gas source 97, one or more gas lines 103 with valves 101 , and a gas distributor 111. The gas distributor 111 may comprise a gas distribution plate 113 having gas outlets 98 through which gas may exit the gas distributor 111 , the gas distribution plate 113 may also serve as an electrode. A controller 160 may be used to control the flow rate of the process gas, the gas pressure 0 and other process chamber functions. Spent process gas and byproducts may be exhausted through an exhaust 114 connected to one or more exhaust pumps 109 via a throttle valve 60 that may be used to control the gas pressure in the chamber 100 to a suitable level, typically, from about 5 mTorr to about 1000 mTorr.
5 In one aspect of the present invention, it was discovered that the pumping rate affects the formation of process residues formed on sidewalls of etched features (commonly known as sidewall polymer) and chamber walls, which in turn affects the quality of etching of high aspect ratio features in the substrate 10. It is believed that a higher pumping rate effectively removes excessive residue forming species within the 0 chamber volume, thereby reducing the formation of excessive process residues on the sidewalls of the etched features. Deposition of an optimal thickness of process residues on the sidewalls of the freshly etched features allows etching to proceed vertically into the substrate without excessive isotropic etching of the sidewalls of the features. However, excessive residue formation can hamper or stop etching, especially for the high aspect ratio features which have small opening sizes and are quite deep. Thus, in one version, the exhaust 114 may comprise one or more pumps that have a sufficiently high pumping rate to reduce excessive deposition of process residue onto the substrate 5 10 and other surfaces of the chamber 100. For example, the exhaust 114 may comprise pumps 109 capable of exhausting gas from the chamber 100 at a rate that is equivalent to a rate of at least about 1600 liters/second for a chamber volume of about 25 liters. For example, in one version, the pumps 109 have a total pumping capacity or rate that is equivalent to a pumping rate of from about 1600 to about 1800 liters/second for a 10 chamber volume of about 25 liters.
An energized gas, such as a plasma, may be generated by a gas energizer 141 that couples electromagnetic energy, such as RF or microwave energy, to the gas. For example, the gas energizer 141 may comprise first and second electrodes
15 115, 105 that may be electrically biased relative to one another to energize the gas in the chamber 100. The first electrode 115 may be a ceiling or sidewall of the chamber 100. The second electrode 105 is below the substrate 10 in a substrate support 124. Typically, the second electrode 105 is made from an electrically conducting material, such as a metal, for example, aluminum, copper, gold, molybdenum, tantalum, titanium,
20 tungsten, and alloys thereof. Molybdenum has a good thermal conductivity and resistance to corrosion in non-oxidizing environments. Generally, the second electrode 105 is planar and shaped and sized according to the shape and size of the substrate 10. For example, the second electrode 105 may be a mesh of electrically conducting wire (not shown) extending below substantially the entire substrate 10. The second electrode
25 105 is covered by a dielectric 55 that is permeable to electromagnetic energy, such as RF energy, to allow energy applied to the electrode 105 to couple to the gas in the chamber 100 to sustain or energize a plasma of the gas. At least a portion of the dielectric 55 covers the electrode 105 and other portions may partially surround or entirely enclose the electrode 105.
30
The first and second electrodes 115, 105 are electrically biased by an RF voltage provided by an electrode voltage supply 150 via an impedance matching circuit 151 which approximately matches the impedance of the voltage supply 150 to the load impedance of the second electrode 105 in a selected frequency range. The frequency of the RF voltage applied to the electrodes 115, 105 may be from about 50 KHz to about 60 MHz. The voltage supply 150 may also be used to provide a DC chucking voltage to the electrode 105 to form electrostatic charge in the electrode that holds the substrate 10. The DC voltage being typically from about 10 to about 2000 volts. The voltage supply 150 can also be controlled by a controller 160 which may control the operation of the electrode 105. While an exemplary gas energizer is illustrated, in other chamber versions as would be apparent to one of ordinary skill in the art, the gas energizer 141 may include other versions, such as inductor antenna (not shown) having one or more coils that inductively couple RF energy to the chamber or a microwave generator coupled to the chamber via a microwave wave guide (also not shown). The second electrode 105 may also be adapted to be electrically chargeable to electrostatically hold the substrate 10 by typically a DC voltage.
In another aspect of the present invention, the second electrode 105 and the covering dielectric 55 are adapted to allow the second electrode 105 to be charged to a high power density which is the electrical power applied to the electrode 105 per unit area of substrate 14 to provide good etching of high aspect ratio features in the substrate 14. The electrically biased second electrode 105 generates at least some electric field vector components which are substantially perpendicularly relative to the plane of the substrate 10. The charged plasma ions of the energized gas are accelerated by these vertically-oriented field components to energetically impinge on the substrate 10. The higher the magnitude of the electrical power density of the second electrode 105 the higher the apparent kinetic energy and directionality imparted to the charged plasma ions. The higher kinetic energy plasma ions can more efficiently etch the high aspect ratio features 29 and with better control of the dimensions of the features 29. In one version, the second electrode 105 and covering dielectric 55 are adapted to sustain a power density of at least about 10 watts/cm2. For a substrate 10 having a diameter of about 20 cm (200 mm), a suitable power level to be applied to the second electrode 105 is equivalent to a power level of at least about 3200 watts; and for a substrate having a diameter of about 30 cm (300 mm), a suitable power level is at least about 7000 watts. The dielectric 55 covering the second electrode 105 is adapted to sustain these higher power levels without excessive current leakage to the surrounding plasma or to the other chamber components by tailoring its composition and thickness. For example, in one version, the dielectric 55 is manufactured to provide a room temperature 5 resistivity of from about 1 x 109 to about 1 x 1013 ohms-cm, or even from about 1 x 1010 to about 1 x 1012 ohms-cm. These resistance values enhance the ability of the dielectric 55 to sustain the higher power levels applied to the second electrode 105, especially at elevated processing temperatures of from about 50 to about 90E C, both of which are desirable for the high aspect ratio etching process. A suitable thickness for the dielectric 10 55 was determined to be from about 0.02 mm to about 2.00 mm, and may even be from about 0.05 mm to about 1.00 mm.
The dielectric 55 may be made from a ceramic material, such as for example, aluminum oxide, aluminum nitride, boron nitride, boron carbide, carbon, 5 cordierite, cerium oxide, diamond, mullite, silicon, silicon carbide, silicon nitride, silicon oxide, titanium oxide, titanium boride, titanium carbide, yttrium oxide, zirconium oxide, and mixtures and compounds thereof. For example, aluminum nitride provides a high thermal conductivity of from about 80 to about 240 Watts/m K, good heat transfer rates, and good erosion resistance. The resistivity of the aluminum nitride may be tailored to 0 the desired levels by adding small amounts, e.g. from about 0.5 to about 5.0 weight%, of dopant materials, such as for example, cerium oxide or yttrium oxide. The dielectric 55 may also be fabricated as a monolith enclosing the second electrode 105, by freeze casting, injection molding, pressure-forming, thermal spraying, or sintering. For example, a ceramic material may be formed into a coherent mass having a porosity of 5 less than about 10% by applying a pressure at an elevated temperature. Suitable pressure forming apparatus including autoclaves, platen presses, and isostatic presses, as for example described in U.S. Patent Application Serial No. 08/965,690, filed November 6, 1997, which is incorporated herein by reference in its entirety. The plasma ion density and ion energy in the process zone 112 may be further enhanced by closely spacing the first and second electrodes 115, 105. When the second electrode 105 is positioned a relatively short distance away from the first electrode 115, the magnitude of electric field vector between the two electrodes is relatively stronger because there is less resistance in the gas pathway between them. As a result, the closely spaced electrodes 115, 105 couple energy more efficiently to the gas in the chamber 100. In addition, the apparent kinetic energy of the plasma ions may also be higher because of the short separating distance between the electrodes 115, 105. Also, the smaller electrode spacing may provide a more laminar and less turbulent flow of process gas across the substrate 10, which would provide more uniform processing of the substrate surface. Thus, in one version, the first and second electrodes 115, 105 are spaced apart a distance that is sufficiently small to maintain a substantially laminar flow of the gas therebetween, the spacing distance may be less than about 5 cm, and it may be even from about 1 cm to about 3 cm. This may be done by raising the second electrode 105 or lowering the first electrode 115 to achieve the desired spacing gap.
Increasing the strength of the magnetic field in the chamber 100 further enhances etching of the high aspect ratio features 29 in the substrate 10. It is believed that the magnetic field strength affects the protective sidewall deposits 30 formed on the freshly etched features 29 in the substrate 10. For example, in certain processes, as the magnetic field strength is increased, the protective sidewall deposits 30 formed in the etching process become thicker, thereby resulting in less bowing in the profiles of the etched features 29. Thus, the magnetic field strength may be controlled to optimize the profile of the etched features 29, especially as the depth of the features 29 increase or their opening size becomes smaller. For example, it has been discovered that in the etching of features 29 such as trenches, it may be desirable to provide a high magnetic field having a field strength of at least about 100 Gauss, or at least about 120 Gauss.
The magnetic field generator 292 can comprise an electromagnetic coil or permanent magnet. For example, Figures 2 and 3 schematically illustrate a version of a magnetic field generator 292 comprising electromagnets 295, 300, 305, 310 that are adjacent to the chamber 100. The magnetic field formed in the chamber 100 is the vector sum of the magnetic fields produced by the electromagnets 295, 300, 305, 310, which depends upon their position relative to the process zone 112 and the electrical energy provided to each electromagnet. The magnetic field generator 292 may be adapted to provide a magnetic field that is substantially perpendicular to the plane of the substrate 10 to confine the plasma to a process volume 112 above the substrate 10, a magnetic field that is parallel to the substrate surface, or a magnetic field that is rotated to "stir" the plasma ions in the process zone 112.
Additionally, the magnetic field generator may comprise a jacket 307, 309 to circulate a fluid therein, as illustrated in Figure 10. For example, a heat transfer fluid may be supplied from a liner fluid source 121 (shown), conductor fluid source 61 or other fluid source. The fluid is supplied to fluid jackets 307, 309, about the electromagnets 305, 310, 295 and 300, to control the magnet temperatures. The heat transfer fluid maintains the electromagnets 305, 310, 295 and 300 at constant temperatures and circulation of the fluid can also reduce over-heating of the electromagnets when a large current is applied through the electromagnets. This increases the ability of the electromagnets to sustain a large current and thereby provide a high magnetic field strength to the chamber 100. The increased magnetic field strength is desirable to provide enhanced etching of the etched features 29 in the substrate 10.
In one version, the magnetic field generator 292 generates a multidirectional magnetic field having an angular orientation and magnitude which are changed over time. Such a magnetic field may be generated by a plurality of electromagnets 295, 300, 305, 310 (or permanent rotating magnets) which are positioned adjacent to the chamber 100. An electromagnet power source varies the current applied to the electromagnets 295, 300, 305, 310 to generate a multi-directional magnetic field in the plasma zone. The electromagnets may be paired to one another and positioned to generate a magnetic field that is substantially planar to the plane of the substrate 10. The power source energizes the paired electromagnets in a predefined sequence for generating a magnetic field having independently varying angular orientation and magnitude. Instead of being positioned adjacent to the sidewalls 106 of the chamber 100, the magnetic field generator 292 can also be disposed above the ceiling of the chamber and/or below the dielectric 55 having the second electrode 105 therein, as for example disclosed in U.S. Patent no. 5,255,024 which is incorporated herein by reference in its entirety.
Alternatively, the magnetic field generator 292 can comprise a plurality of movable permanent magnets positioned adjacent to the sidewall 106 of the chamber 100. The magnets can be mounted on an armature (not shown) that is rotated in a circular or elliptical orbit, and/or in a linear manner to generate the multi-directional magnetic field in the process volume 112. Suitable permanent magnets comprise ferromagnetic materials, such as nickel ferrite, cobalt ferrite, or barium ferrite.
The magnetic field generator 292 generates a magnetic field that is the vector sum of the magnetic fields produced by each electromagnet or permanent magnet, and that depends on their position relative to the chamber 100 and their mode of operation. In the version shown in Figure 2, the magnetic field generator 292 is adapted to provide a magnetic field having components which are substantially parallel to the surface of the substrate 10 and symmetrical about an axis orthogonal to the substrate surface. In this version, the E x B drift velocity imparted to electrons in the plasma is azimuthal and urges the electrons in the plasma sheath to travel in a circular path in a plane parallel to, and immediately above, the processing surface of the substrate 10. The magnetic field generator 292 provides mutually perpendicular magnetic vectors By and Bx, respectively, which are generally parallel to the support and substrate 10, as for example, disclosed in commonly held U.S. patent no. 5,215,619, which is incorporated herein by reference in its entirety.
The magnetic field generator 292 may be operated by a controller 160 that applies control signals via lines 315, 320, 325, 330 to conventional power systems 335, 340, 345, 350 to control the magnitude and direction of the currents supplied over conductors 355, 360, 365, 370, respectively, to the electromagnets 295, 300, 305, 310. The associated currents determine the direction and magnitude of the field generated by each electromagnet. Altematively, the controller 160 can be used to control oscillation of a set of permanent magnets of ferromagnetic material positioned in an armature that can be rotated in a circular/elliptical form or oscillated in a linear direction. The perpendicular field vectors By and Bx generated by the magnetic field generator 292 are defined by the functions BX=B cos 2; and By=B sin 2. Given the desired of required values of the field, 8, and its angular orientation 2, the controller 160 can independently solve the equations to obtain the associated magnetic field vectors By and Bx which provide the desired strength of field and orientation and then control application of the necessary electric currents to the electromagnets or control movement of the permanent magnets, to provide the desired magnetic field vectors By and Bx.
Thus, the angular orientation and magnitude of the magnetic field can be independently altered as quickly or as slowly as desired by changing the current in the electromagnets 295, 300, 305 and 310, or by rotational movement of the magnets. The controller 160 may vary the time that the magnetic field is at each angular position, the direction of the angular stepping function, or the magnetic field intensity. Thus, the magnetic field can be stepped around the substrate 10 using selected orientation and time increments. If desired, the magnitude of the resultant field S2 can be changed if the process conditions or chamber configuration require a constant field strength. For example, the magnetic field may be rotated at a slow rate of 2 to 5 seconds/revolution to increase etch uniformity 360° around the substrate 10.
In another embodiment, the magnetic field generator 292 is adapted to provide a magnetic field that has major components which are substantially orthogonal to the plane of the substrate 10 (not shown). In yet another embodiment, the magnetic field generator 292 may be adapted to provide a magnetic field that has components which are at an angle to or curved across the space or volume of the process zone 112 and above the plane of the substrate 10 (not shown).
In another aspect of the present invention, a temperature control system 400 is used to maintain substantially uniform temperatures across the substrate 10 and surfaces of the chamber 100, e.g., the interior wall 52 and surfaces about the substrate support 124, to achieve good etching of high aspect ratio features. It was discovered that both the etching profiles and the etching rates for etching the high aspect ratio features 29 are highly temperature dependent and may vary considerably when there are non-uniform or inconsistent temperatures across the substrate 10, across components in the chamber 100, or from one substrate to another. Etched features 29 having inconsistent depths or different shapes may result from such temperature variations. In exemplary etching process, a suitable substrate temperature is less than about 240EC and the variability in the temperatures across the substrate held is less than about 5EC; for example, the substrate 10 can be maintained in a temperature range of between about -40 to about 240 EC with a preferred operating temperature range of about 200 to about 240 EC.
In one version, the temperature control system 400 maintains uniform heat transfer rates across the substrate 10 by providing heat transfer gas, such as helium, at a plurality of pressures below the substrate 10. For example, as shown in Figure 10, the heat transfer gas may be provided from a heat transfer gas source 107 to a plurality of heat transfer gas outlets 117i,o in different zones 99i,o on the receiving surface of the dielectric 55. The heat transfer gas facilitates heat transfer between the substrate 10 and the dielectric 55. In one version, the space between the backside of the substrate 10 and a receiving surface 147 of the dielectric 55 are divided into two zones - an inner zone 99i and an outer zone 99o. Separate flow controllers 107o and 107i are used to provide independent control of the gas flow rates to the outer and inner zones, respectively. In addition, the separate gas flow controllers 107i and 107o allow the gas in each zone to be maintained at the same pressure or at different pressures. For example, the inner zone may be maintained at 10-16 Torr while the outer zone is maintained at 20 Torr. During processing, the substrate 10 may be non-uniformly heated by the plasma in the chamber 100 and the dual zone heat transfer gas control can be used to render the substrate temperatures more uniform across the substrate 10. For example, the heat transfer gas pressure in the inner and outer zones may be adjusted so. that the temperature difference from the center to the peripheral edge of the substrate 10 is less than about 5EC, or remains nearly constant.
The inner and outer heat transfer gas zones 99i,o can also be operated to induce any thermal gradient that forms across substrate 10 during processing. For example, the heat transfer gas pressures in the inner and outer zones 99i,o may be adjusted so that the temperature in the center of the substrate 10 is greater than or less than the temperature at the peripheral edge of the substrate 10. This version is desirable when the substrate 10 is, for example, etched faster at the center than the peripheral edge, or when the substrate 10 is hotter at the center than at its peripheral edge.
The temperature control system 400 may further comprises a conductor 62 disposed below the dielectric 55 and above a support base 200 to further control heat transfer rates between the substrate 10 and support 124. The conductor 62 is an electrically conducting element capable of transferring thermal energy to or from the substrate 10 via the dielectric 55 covering the electrode 105, which is in thermal contact with both the substrate 10 and conductor 62. For example, as shown in Figure 10, the conductor 62 may comprise one or more channels 71 that may be supplied with a temperature controlled heat transfer fluid from a conductor fluid source 61 via one or more fluid inlets 63. The heat transfer fluid, such as for example, a mixture of ethylene glycol and de-ionized water, circulates through the channels in the conductor 62 to maintain the temperatures of the conductor 62 at constant levels. For example, when the conductor 62 is heated to undesirably high temperatures during processing of the substrate, the heat transfer fluid supplied to the channels 71 cools the conductor 62 to lower its temperature and thereby provide more uniform heat transfer rates from the substrate 10. The heat transfer fluid may also be temperature controlled by the controller 160 before it is supplied to the conductor 62, to maintain the substrate 10 at the desired temperature, for example, at a temperature of from about 80 to about 100 EC during the etching of trenches in polysilicon.
The conductor 62 may also be bonded or joined to the dielectric 55 by a bond layer 73, as shown in Figure 2, that is made from a material having a high and uniform thermal conductivity. Attaching the dielectric 55 to the conductor 62 maximizes heat transfer rates from the dielectric 55 to the fluid in the channel 71 of the conductor 62. The bond layer 73 can comprise, for example a metal, such as aluminum, copper, indium or tin-lead alloys. The bond layer 73 has a homogeneous composition that provides more uniform heat transfer rates across the substrate 10 and reduces variations in thermal impedance at the interface between the conductor 62 and the dielectric 55. The bond layer 73 may also be compliant to provide a ductile interface that is capable of absorbing thermal stresses arising from any thermal expansion mismatch between the dielectric 55 and the conductor 62 without damaging the dielectric 55. While a metal-bonded joint provides more uniform heat transfer rates, it may be difficult for such a joint to withstand any thermal stresses arising from differences in thermal expansion coefficients of dissimilar materials. Thus, the bond layer 73 may be made from a compliant material that can absorb thermal stresses. A suitable compliant 5 bonding material comprises Thermattach T412 (TM) commercially available from Chomerics, Inc. Thermattach T412 comprises a high bond strength, pressure sensitive acrylic adhesive, mixed with titanium diboride and applied to an expanded aluminum carrier. The thermal performance of the bond layer 73 may be enhanced by the combination of filler, expanded metal and embossed surface.
10
The temperature control system 400 may further comprise one or more liners 104 that cover at least a portion of the chamber walls 52, as illustrated in Figure 4. In one version, the chamber liner 104 comprises a first (upper) liner 134, a second (lower) liner 118, or both a first liner 134 and a second liner 118. Disposed within each
15 chamber liner 104 is a passage 119 to hold a heat transfer fluid provided by a temperature controlled, fluid supply system, such as a liner fluid source 121. The liner 104 may also be a unitary or removable structure to facilitate cleaning or removal of the liner 104. The bottom chamber wall 108 has apertures 116 (only one of which is shown in Figure 4) that provide access to the second liner 118 from the exterior of the chamber
20 100. An O-ring 122 disposed in a groove 120 circumscribes each aperture 116.
The liners 104 may also be heated to reduce deposition of process residue on the liners 104 to control the amount of process residues that are present in the chamber 100, which in turn affects the quality of etching of the high aspect ratio
25 features in the substrate 10. In one version, the heat transfer fluid from liner fluid source 121 may be passed through the liner 104 to heat the liner and thereby reduce the formation of process residues on the liner 104. Reducing the deposition of the residue on the chamber liner 104 may also reduce the amount of process residue which flake off of the liner 104 and deposit back onto the substrate 10.
30
The temperature control system 400 may also comprise one or more heaters adjacent to and abutting the liners 118, 134, for example, abutting the chamber ceiling 68, as for example, shown in Figures 1 and 4. The heater 67 may be used in addition or as an alternative to the heat transfer fluid. passed through the liner 118, 134 to regulate the ceiling 68 temperature. In one version, the heater 67 may comprise, for example, coils or heating elements, such as the heating coils 67 mounted above the lid assembly 102. The heaters 67 may be operated to heat the ceiling 68 or liners 118, 134 to higher temperatures before or during processing of the substrate 10 to reduce temperature fluctuations in the chamber 100. It has been found to be particularly advantageous to heat the ceiling 52 with the heater 67 and further control the ceiling temperature by circulating heat transfer fluid through a channel 59 in the ceiling 52. In this version, the temperature of the ceiling 52 - whose temperature may fluctuate between when a substrate 10 is being processed in the chamber 100 and the energized gas heats the ceiling 52, or when the substrate 10 is removed from the chamber 100 in between processing steps - may be regulated more precisely using the dual heater and fluid control method.
The ceiling 52 and liner 104 may comprise alternate versions, for example, in one version, as shown in Figures 5 and 6, chamber ceiling 52 comprises an openable lid assembly 102 comprising a first liner 134 and a lid 202. The first liner 134 has an outwardly extending flange 342 that rests upon the sidewall 106. The lid assembly 102 is clamped to the sidewall 106 by a pair of clamps 206. A first seal disposed between the sidewall 106 and first liner 134 (for example, an O-ring seal 302 disposed in a groove 304 in the sidewall 106) provides a vacuum seal between the first liner 134 and the sidewall 106. Additionally, a second seal (for example, an O-ring 306 disposed in a groove 308 in the lid 202) between the lid 202 and the first liner 134 provides a gas tight seal between those components. As lid assembly 102 is generally biased downwardly when the lid 202 is clamped in place, the lid assembly 102 exerts a downward pressure on the second liner 118 when installed in the processing chamber 100.
The first liner 134 is fabricated from a thermally conductive material, for example, anodized aluminum, stainless steel, ceramic or other such compatible materials. The first liner 134 comprises a center section 341 having a dish-shaped top surface 312 and a bottom surface 316. The dish-shaped top surface 312 has a perimeter 314 that is connected to the outwardly extending flange 342. Extending from the bottom surface 316 is a cylindrical wall 318. The bottom surface 316 and wall 318 have exposed surfaces 343 that are exposed to the process volume 112.
In another aspect of the present invention, the deposition of process residues on the first liner 134 is reduced by providing an exposed surfaces 343 comprise a relatively smooth surface having a peak to peak RMS surface roughness of less than about 32. It has been discovered that the relatively smooth surface is desirable because it reduces the amount of process material deposited on the liner 134 to reduce excessive process residue formation on the liner 134 and thereby improve etching of high aspect ratio features in the substrate 10. It is believed that the excessive accumulation of process residues near the substrate 10 results in the generation of higher concentrations of process residue forming species around the substrate 10, which causes the. deposition of excessive process residue amounts on the etched features of the substrate 10. The excessive residues result in poor etching of the high aspect ratio features.
Figures 5 and 6 show a version of a fluid passage in the first liner 134. In this version, a perimeter 314 of the center section 341 contains a fluid passage 322 that may be formed by casting or drilling a number of intersecting holes 208 each of which are sealed by a plug 210. Each end of the fluid passage 322 is connected to the top surface 312 by a bore 324. Two bosses 326 (only one of which is shown in Figure 6) protrude from a top surface 312 of the center section 341. Each boss 326 has a center hole 328 that is fluidly coupled to the fluid passage 322 via the respective bore 324. The fluid passage 322 receives fluid from the liner fluid source 121 and the fluid regulates the temperature of the first liner 134 by transferring heat from the fluid to the first liner 134. As the fluid is circulated through the first liner 134 from the liner fluid source 121 , the amount of heat provided to the first liner 134 is controlled, thus permitting the first liner 134 to be maintained at predetermined temperatures. The fluid, which may be liquid and/or gaseous fluid, is flowed through the fluid passage 322 to control the temperature of the first liner 134. The fluid may be a liquid such as de-ionized water and/or ethylene glycol, or fluids, such as liquid or gaseous nitrogen or Freon (TM Dupont de Nemours, Wilmington, Delaware. One of ordinary skill in the art can devise alternate configurations using the teachings provided herein. For example, as depicted in Figure 7, a lid assembly 202 may comprise a first fluid passage 322a and a second fluid passage 322b. The first and second fluid passages 322a,b may share a common inlet 330i and a common outlet 330o as illustrated in Figure 7. Optionally, additional inlets and outlets may be utilized. The first and second fluid passages 322 A and 322 B can also double back in a two tube pass configuration. Additional tube passes may alternatively be incorporated.
Returning to Figures 5 and 6, quick-connect fluid couplings are utilized to fluidly connect the liner fluid supply 121 and the first liner 134 to facilitate the rapid removal and replacement of the first liner 134 from the chamber 100. Typically, a quick- connect 336 having a male pipe thread-form is threaded into a female thread-form in the center hole 328 of the boss 326. The mating coupling 332 is affixed to the terminal end of a fluid supply line 334. The fluid supply line 334 couples the passage 322 to the liner fluid supply 121. One advantage of this configuration is that when replacing the first liner 134 the fluid supply line 334 can be easily disconnected. However, other methods of coupling the first liner 134 to the fluid supply line 334, for example, pipe threads, barbed nipples, collet connectors and the like, may also be used. Quick-connects are commercially available and are generally selected based on port size (thread-form and flow capacity).
The liner wall 318 is sized to slip inside the sidewall 106 with minimal clearance. The liner wall 318 may vary in height, and may, when used without a second liner, extend to the chamber bottom 108. Generally, if both the first liner 134 and second liner 118 are utilized as shown in Figure 4, the liners are shaped and sized to fit inside the chamber 100 to provide the compressive force required by the O-rings 122 to seal the second liner 118 to the chamber bottom 108 around the apertures 116 when the lid assembly 102 is clamped in place. The liner wall 318 may additionally contain a number of other ports for various purposes. An example of such other ports is a substrate access port to align with the slit opening of the chamber 100.
Returning to Figure 4, the second liner 118 at least partially surrounds the bottom portion of the chamber volume 110. In addition, the second liner may be adapted to provide a relatively hot, smooth surface within the chamber volume 110, that has a peak to peak surface RMS roughness of 32 to reduce the deposition of process material on the liner 118. The second liner 118 has a fluid passage 119 in which fluid is provided from the liner fluid source 121 by a conduit 123. The fluid regulates the temperature of the second liner 118 by transferring heat from the fluid to the second liner 118. As the fluid is circulated through the second liner 118 from the liner fluid source 121 , the amount of heat provided to the second liner 118 is controlled, thus permitting the second liner 118 to be maintained at a predetermined temperature.
Figures 8 and 9 show a version of the second liner 118 comprising a base section 502 and an outer wall 506. The interior surfaces 508 of the base section 502 and outer wall 506 are exposed to the pumping volume 114. The second liner 118 may be fabricated from a thermally conductive material, for example, anodized aluminum, stainless steel, or other compatible material. The base section 502 contains a fluid passage 119 that may be formed by, for example, casting or by milling a groove followed by plugging any open portion. Alternatively, fluid passage 119 may be formed by drilling intersecting blind holes and plugging the open ends of the holes as illustrated in Figure 8. In one embodiment, the fluid passage 119 is substantially circular, beginning and ending adjacent to an exhaust port 520 that is disposed through the second liner 118. Each end of the fluid passage 119 terminates in a boss 510 that protrudes from an exterior surface of the base 502. The boss 510 interfaces with the apertures 116 in the bottom wall 108 and ensures the proper orientation of the second liner 118 in the chamber 100 (i.e., all ports align). To facilitate the rapid change out of the second liner 118, quick-connect fluid couplings are utilized between the second liner 118 and a conduit 123 that fluidly couples the passage 119 to the liner fluid source 121. Typically, a quick-connect 512 having a male pipe thread-form threaded into a female thread-form in the boss 510 or an SAE port coupled with an O-ring is used. A mating coupling 514 is affixed to the terminal end of a conduit 123 coupled to the fluid supply 121. Thus, during when the second liner 118 is changed or replaced, the conduit 123 can be easily disconnected. However, other means of coupling the second liner 118 to the liner fluid supply 121 may alternatively be used. The outer wall 506 is generally cylindrical and is sized to define a minimal gap with the chamber walls. The outer wall 506 may vary in height, particularly if a first liner 134 is also utilized as described above. The outer wall 506 additionally contains the exhaust port 520 that aligns with the pumping port 138. The exhaust port 520 may partially encompass a portion of the base wall 108. The exhaust port 520 provides fluid access of gases in the pumping volume 114 to the throttle valve 60 and pumps 109. The outer wall 506 may additionally contain a number of other ports for various purposes. An example of such other ports is a substrate access port 526 that aligns with a slit opening 139 in the sidewall 106 to allow transfer of substrate 10 in and out of the chamber 100.
An advantage of the liner configuration described above is that chamber down time for liner cleaning can be minimized by using a pair of liners 134, 118. When liner replacement is desired, the clamps 206 are opened to release the lid assembly 102. The respective liners are disconnected from the fluid source 121 by disconnecting the respective quick-connects. The lid 202 and gas feedthrough 212 are separated from the first liner 134 and the first liner 134 is lifted out of the chamber 100. Once the first liner 134 is removed, the second liner 118 is similarly removed. Chamber down time is minimized by replacing the liners 134, 118. The lid 202 and gas feedthrough 212 are positioned upon the replacement first liner 134. The clamps 206 are closed, thus compressing the seals and sealing the chamber volume 110. The respective replacement liners are reconnected to the fluid source 121, completing the liner change out procedure. The removed liners can now be cleaned to eliminate accumulated byproducts and then prepared for re-installation into the chamber 100 the next time liner replacement is desired.
In yet another aspect of temperature control system 400, the base 200 of the support 124 may also comprise one or more heat transfer fluid conduits 201 through which the heat transfer fluid may flow to control the temperatures in the chamber. For example, the conduits 201 may be disposed about the perimeter of the base 200 to transfer heat between surfaces of the support 124 and the heat transfer fluid within the conduits 201. In addition to controlling temperatures in the process chamber, the temperature controlled base 201 may ensure that the temperatures of surfaces about the support 124 remain high enough to substantially prevent the deposition of process residues onto the surfaces.
The operation of a temperature controlled liner 104 and support base 200 5 according to the present invention is illustrated in Figure 10. In operation, the temperature of the first liner 134 and second liner 118 are controlled by flowing fluid through the passages 119 and 322 within the respective liners 118 and 134, from the liner fluid source 121. Upon exiting from passages 119 and 322, the heat transfer fluid may be combined into a single conduit before flowing into the heat transfer fluid conduits
10 201 in the support base 200 and returning to the liner fluid source 121. The heat transfer fluid serves to regulate the temperature of the liners 118 and 134 and the base 200 by transferring heat between the liners 118 and 134 and base 200 and the fluid. The temperature and flow rate of fluid from the liner fluid source 121 may be controlled to regulate the heat transferred by the heat transfer fluid to the liners 118 and 134 and
15 base 200. In one version, a user may provide a set point for the temperatures of the liner wall 118, 134 and base 200, for example, into the controller 160 which would regulate the amount and temperature of fluid output by the liner fluid source 121 to maintain the user inputted set-point. A suitable liner temperature which may reduce the deposition of process residue on the liners 104 may be from about 50 to about 70 EC. 0
The components of the temperature control system 400, including the dielectric 55 having a multiple zone backside heat transfer gas, the support base 200 comprising heat transfer fluid conduits 201 , the conductor 62, and bond layer 73, fluid circulating liners 104 and heaters 67, are capable of controlling the temperatures of the 5 substrate 10 and surfaces of the chamber 100 as well as maintaining the substrate 10 at uniform temperatures, for example, by removing heat generated during plasma processing of the substrate 10. The heat transfer efficiency provided by this temperature control system 400 may be used to ensure that the high RF power levels and magnetic fields applied in the chamber may be sustained for long periods of time, even for RF 0 power levels at or above 3200 Watts and magnetic fields greater than 100 Gauss.
Thus, the aspects the present chamber 100 cooperate to provide high aspect ratio etching of features 29 on the substrate 10 at good etch rates. For example, the gas energizer 141 provides a sufficiently high power density such that the features 29 may be highly energetically etched. The magnetic field generator 292 maintains good feature profile by providing a sufficiently high magnetic field strength within the chamber 100. The temperature control system 400 provides suitable temperatures in the chamber for the etching of high aspect ratio features on the substrate 10 at good etch rates, for example by controlling the temperature of the substrate 10. The temperature control system 400 also enhances the etching of high aspect ratio features 29 at good etch rates by reducing the amount of process residue deposited on surfaces of the chamber 100. For example, the temperature control system 400 may heat the liners 104 to reduce deposition of the process residue onto surfaces of the liners 104. The liners 104 may also comprise a relatively smooth surface which does not promote adhesion of the process residue to the surfaces of the liners 104. Furthermore, the exhaust 114 aids in the etching of high aspect ratio features 29 at good etch rates by removing process residues from the chamber 100 at a sufficiently high rate to reduce the deposition of process residue onto surfaces of the chamber 100. The reduced deposition of process residues onto surfaces of the chamber provides for high aspect ratio etching at good etch rates by reducing the amount of process residue which flake off of the surfaces and deposits back onto the substrate 10. The components of the chamber 100 cooperate to provide a suitable chamber environment in which the etching of high aspect ratio features on the substrate 10 at good etch rates may be achieved.
The chamber 100 may be operated by a controller 160 comprising a central processor unit (CPU) 174, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 193 and peripheral computer components, as shown in Figure 2. The memory 193 comprises a computer-readable medium having the computer-readable program 189 embodied therein. Preferably, the memory 193 may include a hard drive 187, a CD or floppy drive 188, and random access memory 172. The controller 100 may further comprise a plurality of interface cards including, for example, analog and digital input and output boards, interface boards, and motor controller boards. The interface between an operator and the controller 160 can be, for example, via a display 190 and a light pen 194. The light pen 194 detects light emitted by the monitor display 190 with a light sensor in the tip of the light pen 194. To select a particular screen or function, the operator touches a designated area of a screen on the monitor 190 and pushes the button on the light pen 194. Typically, the area touched changes color, or a new menu is displayed, confirming communication between the user and the controller 160.
The computer-readable program 189 may be stored in the memory 193, or it may be a computer program product stored on the CD or floppy disk drive 188 or other appropriate drive, or stored on the hard drive 187. The computer readable program 189 generally comprises process control software 533 comprising program code to operate the chamber 100 and its components, process monitoring software to monitor the processes being performed in the chamber 100, safety systems software, and other control software, as for example, illustrated in Figure 11. The computer- readable program 189 may be written in any conventional computer-readable programming language, such as for example, assembly language, C++, Pascal, or Fortran. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in computer-usable medium of the memory 193. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked, compiled object code, the user invokes the object code, causing the CPU 174 to read and execute the code to perform the tasks identified in the program.
Figure 11 is an illustrative block diagram of a hierarchical control structure of a specific embodiment of a computer readable program 189 according to the present invention. Using a light pen interface, a user enters a process set and chamber number into a process selector program 530 in response to menus or screens displayed on the CRT terminal. The process chamber program 533 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, support position, heater temperature, magnetic field generation and other parameters of a particular process. The process sets are predetermined groups of process parameters necessary to carry out specified processes. The process parameters are process conditions, including without limitations, gas composition, gas flow rates, temperature, pressure, gas energizer settings such as RF or microwave power levels, magnetic field generation, heat transfer gas pressure, and wall temperature.
The process sequencer program 531 comprises program code to accept 5 a chamber type and set of process parameters from the process selector program 530 and to control its operation. The sequencer program 531 initiates execution of the process set by passing the particular process parameters to a chamber manager program 532 that controls multiple processing tasks in the process chamber 100. Typically, the process chamber program 533 includes a substrate positioning program
10 534, a gas flow control program 535, a gas pressure control program 536, a gas energizer control program 537, a temperature control system control program 543, and a magnetic field generator program 544. Typically, the substrate positioning program 534 comprises program code for controlling chamber components that are used to load the substrate 10 onto the support 124 and optionally, to lift the substrate 10 to a desired
15 height in the chamber 100. The process gas control program 535 comprises program code for controlling the flow rates of different constituents of the process gas. The process gas control program 535 controls the open/close position of the safety shut-off valves, and also ramps up/down the gas flow controllers 107o, 107i to obtain the desired gas flow rate. The pressure control program 536 comprises program code for controlling 0 the pressure in the chamber 100 by regulating the opening size of the throttle valve 60 in the exhaust system 110 of the chamber 100. The gas energizer control program 537 comprises program code for setting RF power levels applied to the process electrodes 115, 105 in the chamber 100. The temperature control system control comprises program code for controlling temperatures in the chamber 106. For example, the 5 temperature control system control may set the temperatures or flow rates of the heat transfer fluid and heat transfer gas to obtain desired predetermined temperatures of chamber components, for example the chamber liners 104 or the support 124. The magnetic field generator program 544 comprises program code for controlling the magnetic field generator 292, for example to set the strength of the magnetic field 0 applied to the chamber 100.
The data signals, received by and/or evaluated by the controller 160 may be sent to a factory automation host computer 191. The factory automation host computer 191 may comprise a host software program 192 that evaluates data from several systems, platforms or chambers 100, and for batches of substrates 10 or over an extended period of time, to identify statistical process control parameters of (i) the processes conducted on the substrates 10, (ii) a property that may vary in a statistical relationship across a single substrate 10, or (iii) a property that may vary in a statistical relationship across a batch of substrates 100. The host software program 192 may also use the data for ongoing in-situ process evaluations or for the control of other process parameters. A suitable host software program comprises a WORKSTREAM™ software program available from aforementioned Applied Materials. The factory automation host computer 191 may be further adapted to provide instruction signals to (i) remove particular substrates 10 from the processing sequence, for example, if a substrate property is inadequate or does not fall within a statistically determined range of values, or if a process parameter deviates from an acceptable range; (ii) end processing in a particular chamber 100, or (iii) adjust process conditions upon a determination of an unsuitable property of the substrate 10 or process parameter. The factory automation host computer 191 may also provide the instruction signal at the beginning or end of processing of the substrate 10 in response to evaluation of the data by the host software program 192.
Examples
The following examples demonstrate the effectiveness of the present invention; however, the present invention may be used in other processes and for other uses as would be apparent to those of ordinary skill in the art and the invention should not be limited to the examples provided herein. In these examples, a single-wafer process chamber, according to the present invention, was used to etch a substrate 10 comprising silicon dioxide on a silicon wafer having a diameter of about 200 mm.
The substrate 10 was placed on the substrate support 124 and the support 124 was heated or cooled by passing heat transfer fluid though the channels 171 of the conductor 62 in the support 124. The substrate 10 was allowed to equilibrate to the temperature of the chamber 100, and the pressure in the chamber 100 was set by regulating the opening size of the throttle valve 60 in the exhaust 110. In the etching process, process gas comprising the equivalent oMOO seem of HBr, 18 seem of NF3 and 36 seem of HeO2i for a chamber volume of about 25 liters, was introduced into the chamber 100. Thereafter, an RF voltage was applied to the electrode 105 at a RF power level. The magnetic field generator 292 applied a magnetic field within the chamber 100. The substrate 10 was cooled by helium heat transfer gas 107 introduced to the substrate receiving surface 147 by heat transfer gas controllers 107i and 107o at different pressures.
Examples 1 to 3
These examples were conducted to determine the effect of RF power levels on the temperature of the electrode 105 during etching of the substrate 10. The support 124 was heated to a temperature of 90EC, and the pressure in the chamber 100 was maintained at 230 mTorr. Thereafter, the etching gas composition was supplied to the chamber 100, the RF power level was set at either 1800, 2600 or 3490 Watts, and a magnetic field of 100 Gauss was applied. The heat transfer gas controllers 107i and 107o supplied helium gas at pressures of 16 and 20 mTorr on the backside of the substrate 10. The substrate 10 was etched for 240 minutes.
Figure 12 shows the change in temperature of the electrode 105 with increasing RF power applied to the electrode 105. The rise in electrode temperature was measured to be 6.8EC at an RF power level of 1800 Watts, 8.5EC at 2600 Watts, and 13.6EC at 3490 Watts. The electrode temperature, and hence the substrate temperature, was determined to rise as a function of the RF power level. The equation describing this function was determined to be a polynomial function of the RF power level applied to the electrodes 105, 118. These examples demonstrate that the substrate temperature is strongly dependent upon the RF power applied to the electrodes 105, 118 and which affects the etching rates and profiles of the features 29 being etched in the substrate 10. Examples 4-6
Figures 13a through 13c demonstrate the effect of the RF power level on the profile of etched features 29 and the rate at which the features 29 are etched. Etch processes were performed on a substrate 10 as described above, with a chamber pressure of 170 mTorr, magnetic field strength of 100 Gauss, an electrode temperature of 90EC, and RF power levels of 1250, 1450 and 1600 Watts. Figure 13a shows the trench widths and critical dimensions obtained for increasing RF power level. Increasing the RF power level generally increased the average profile width and the average critical dimension of the top portion of the etched feature. An average width of 0.16Φm and an opening size critical dimension of 0.18 Φm was obtained at an RF power level of 1250 Watts whereas an average width and opening size critical dimension of 0.23 was obtained at an RF power level of 1600 Watts. Figure 13b shows the etch rates and aspect ratios obtained for increasing RF power level. The etch rate increased and the aspect ratio decreased for increasing RF power level. The average etch rate increased from 0.72 Φm/min at 1250 Watts to 0.82 Φm/min at 1600 Watts. An average aspect ratio of 36.4 was produced for an RF power level of 1250 Watts whereas an average aspect ratio of 33.2 was produced with an RF power level of 1600 Watts. Figure 13c depicts the etch rates and selectivities obtained for increasing RF power level. The average selectivity increased from 9.8 at 1250 Watts to 11.2 at 1600 Watts. These results generally show that increasing the RF power increases the average etch rate while still maintaining good etching selectivity. However, the increase in RF power level also yielded undesirably larger trench widths and lower aspect ratios, which it is believed is due in part to the dependence of the wafer temperature on the RF power level. It is desirable, therefore, to use increasing RF power levels in etch processes to increase the etch rate. However, other process parameters must be optimized at the selected RF power level to obtain the high aspect ratio features 29.
Example 7-8
In these examples, it was further discovered that the magnetic field strength is a parameter which can be optimized to maintain a narrow etched feature width at increasing RF power levels. Figures 14a through 14c illustrate the effect of increasing the strength of the magnetic field in an etching process. Etching processes were conducted with chamber pressures of 130, 135, or 140 mTorr, an RF power level of 1250 Watts, a cathode temperature of 90EC and a magnetic field strength of 80 or 100 Gauss. Figure 14a shows the trench widths and critical dimensions obtained for increasing magnetic field strengths. The average widths of the etched features 29 were found to decrease from an average width of 0.18 Φm at 80 Gauss to an average width of 0.16Φm at 100 Gauss. The critical dimensions of the etched features 29 were found to decrease from an average critical dimension of 0.18Φm at 80 Gauss to an average 5 critical dimension of 0.16Φm at 100 Gauss. Figure 14b shows the etch rates and aspect ratios obtained for increasing magnetic field strength. The average feature etching rate was found to increase from 0.67 Φm/min at 80 Gauss to 0.73 Φm/min at 100 Gauss. This increase in the average etch rate was accompanied by a decrease in the aspect ratio of the etched feature. The average aspect ratio at 80 Gauss was measured at
10 33.0, whereas the average aspect ratio at 100 Gauss was measured to be 32.7. Figure 14c shows the etch rates and selectivities obtained for increasing magnetic field strength. The average selectivity is seen to increase from 10.4 at 80 Gauss to 11.2 at 100 Gauss. Thus, using a high magnetic field strength can increase the etch rate while maintaining good etch profiles, high aspect ratios, and narrow trench widths. It is
15 desirable, therefore, to conduct high aspect ratio feature etching processes at high magnetic field strengths.
Examples 9-10
0 The effect of increasing magnetic field strength on etch processes was further tested by comparing etch processes conducted at magnetic field strengths of 100 and 120 Gauss and an RF power level of 1450 Watts. The chamber pressure was maintained at 170 mTorr and the electrode temperature was set at 90EC. The average etch rate for the process conducted at a magnetic field strength of 120 Gauss was found 5 to be 0.78 Φm/min with an average aspect ratio of 34.0. These values are substantially more desirable than the average etch rate of 0J56 and average aspect ratio of 33.5 obtained at a magnetic field strength of 100 Gauss. The higher magnetic field strength is believed to maintain high aspect ratios and smaller trench widths by regulating the deposition of protective sidewall species 30 during the etching process. This example 0 also shows that a high magnetic field strength improves the etching of high aspect ratio features.
Examples 11-18 In these comparative examples, etch processes that use higher RF powers were compared to etch processes that use lower RF powers. The etching rate obtained for each process as well as the geometries of the etched features are given in Table 1 below.
Table 1
Example 11 12 13 14 15 16 17 18
#
Process 900 900 W 900 W 1800 1800 1800 1800 2600
Paramet W 100 G 100 G W W 100 W W W ers 100 G 100 G G 100 G 100 G 100 G
Etch 0.45 0.31 N/A 1.0 0.8 0.8 0.9 1.09
Rate
(μm/min)
Aspect 37 36 N/A 47 57 53 33 37
Ratio
Opening 0.175 0.14 0.10 0.17 0.14 0.1 0.22 0.205
Size
(μm)
Depth 6.5 5.0 N/A 8.0 8.03 5.3 7.25 7.4
(μm)
In Examples 11-13, etch processes were conducted at an RF power level of 900 Watts while the electrode was maintained at a temperature of 90EC, the chamber pressure was maintained at 200 mTorr, the helium pressure was maintained at 14 Torr on a support 124 comprising only a single heat transfer gas zone 99, and the magnetic field was maintained at a high level of 100 Gauss.
In Examples 14-16, etch processes were conducted at an RF power level of 1800 Watts while the electrode was maintained at 90EC, the chamber pressure was maintained at 230 mTorr, the helium inner and outer outlet pressures were maintained at 14 and 20 Torr, respectively, and the magnetic field was maintained at a high level of 100 Gauss.
In Example 17, an etch process was conducted at an RF power level of 1800 Watts while the electrode was maintained at 90EC, the chamber pressure was maintained at 200-230 mTorr, the helium inner and outer outlet pressures were maintained at 6 to 14 and 15 to 20 Torr, respectively, and the magnetic field was maintained at a high level of 100 Gauss.
In Example 18, an etch process was conducted at an RF power level of 2600 Watts while the electrode was maintained at 70EC, the chamber pressure was maintained at 230 mTorr, the helium inner and outer outlet pressures were maintained at 10 to 16 and 20 Torr, respectively, and the magnetic field was maintained at a high \evel of 100 Gauss.
10
These examples show that etch processes that use higher RF power levels yield etched features having high aspect ratios with faster average etching rates than etch processes that use lower RF power levels.
15 Prospective Example
The following is a prospective example to demonstrate an exemplary process according to the present invention to provide high etch rates and with good etch profiles. In this example, the etch process is conducted at an electrode temperature of
20 50 to 60 EC, inner and outer gas zone helium pressures of 16 Torr and 20 Torr, respectively, an RF power level of 3500 Watts and a magnetic field strength of 100 Gauss. The process gas composition and gas pressures are the same as provided herein. Using these optimized parameters, it is anticipated that an etching rate of at least about 1.3 Φm/min with a good etch profile can be achieved. This represents a
25 substantial increase over the baseline etch process conducted at an RF power level of 1800 Watts and a magnetic field strength of 100 Gauss. Thus, high etch rates and good etch profiles are expected to be obtained by increasing the RF power level.
An apparatus 50 and process according to the present invention provides 30 good etching of high aspect ratio features 29 on a substrate 10, with good etching profiles, and consistent etching rates. The apparatus 50 is capable of applying a high RF power level while providing a high strength magnetic field and good temperature control. Processing the substrate 10 at a high RF power level and in a strong magnetic field, while maintaining the substrate at a predefined temperature, allows etching of high aspect ratio features at good etching rates. Unexpectedly, it was discovered that a high RF power level provides good etching rates for the high aspect ratio features and a high magnetic field strength maintains the small critical dimensions and opening sizes of the high aspect ratio features. Furthermore, maintaining the substrate 10 at a predefined range temperatures improves the shape of the etched feature profiles. Also, reducing the deposition of process residue onto surfaces of the chamber 100 has been discovered to allow for the etching of high aspect ratio feature at good etching rates. As a result, the etching apparatus and process according to the present invention, is capable of etching high aspect ratio features at a high etch rate and while maintaining a small opening size and a good profile of the etched features.
Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, additional electrodes operating at different power levels may be used without deviating from the scope of the present invention. Also, the magnetic field generator may include alternative magnetic sources as apparent to those of ordinary skill in the art. Furthermore, the terms below, above, bottom, top, up, down, first and second and other relative or positional terms are shown with respect to the exemplary embodiments in the figures and are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims

What is claimed is:
1. A substrate processing chamber comprising: a gas supply to provide a gas to the chamber; first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power density of at least about 10 watts/cm2, and the second electrode comprising a receiving surface to receive a substrate; and an exhaust to exhaust the gas.
2. A chamber according to claim 1 wherein the second electrode is adapted to be chargeable to a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm.
3. A chamber according to claim 1 comprising a magnetic field generator adapted to provide a magnetic field of at least about 100 Gauss in the chamber.
4. A chamber according to claim 3 wherein the magnetic field generator comprises an electromagnet having a jacket to circulate a heat transfer fluid therein.
5. A chamber according to claim 1 comprising a controller adapted to control the first and second electrodes, magnetic field generator and temperature control system, to set process conditions to etch substrate features having an aspect ratio of at least about 30.
6. A chamber according to claim 5 comprising a controller adapted to set process conditions to etch substrate features having an opening size of less than about 0.14 Φm or a depth of at least about 8 Φm.
7. A chamber according to claim 1 comprising a temperature control system adapted to control temperatures in the chamber.
8. A chamber according to claim 7 comprising a temperature control system adapted to maintain the substrate at temperatures below about 240EC or at temperatures that vary by less than about 5EC.
5 9. A chamber according to claim 7 wherein the temperature control system comprises one or more of:
(a) a substrate receiving surface having a plurality of zones in which heat transfer gas may be maintained at different pressures during processing of a substrate; 10 (b) a conductor below the second electrode, the conductor comprising channels to circulate a heat transfer fluid therein;
(c) a liner comprising a smooth surface;
(d) a chamber wall having passages to circulate a heat transfer fluid therein or a heater adapted to heat a chamber wall.
15
10. A chamber according to claim 9 wherein the temperature control system comprises conduits in a base below the conductor to circulate a heat transfer fluid therein.
20 11. A chamber according to claim 1 wherein the first and second electrodes are spaced apart a distance of from about 1 cm to about 5 cm.
12. A chamber according to claim 11 wherein the gas supply comprises gas outlets in one of the electrodes.
25
13. A chamber according to claim 1 wherein the second electrode comprises a dielectric covering a conductor, the dielectric comprising a resistivity of from about 1 x 109 to about 1 x 1013 ohms-cm.
30 14. A chamber according to claim 13 wherein the dielectric comprises a resistivity of from about 1 x 1010 to about 1 x 1012 ohms-cm.
15. A chamber according to claim 13 wherein the dielectric comprises a thickness of from about 0.02 to about 2 mm.
16. A substrate processing method comprising:
5 (a) providing a substrate in a process zone;
\ (b) introducing a gas into the process zone;
(c) energizing the gas by applying electrical energy to an electrode below the substrate at a power density of at least about 10 watts/cm2; and
(d) exhausting the gas.
10 17. A method according to claim 16 comprising applying a magnetic field of at least about 100 Gauss in the process zone.
18. A method according to claim 16 comprising maintaining the substrate at temperatures less than about 240EC or at temperatures that vary by less than about
15 5EC.
19. A method according to claim 16 comprising setting process conditions comprising energizing the gas, maintaining a magnetic field, and controlling the substrate temperature, to etch substrate features having an aspect ratio of at least about
20 30 and an opening size of less than about 0.14 Φm.
20. A substrate etching chamber comprising: a substrate support; a gas supply to provide a gas to the chamber and an exhaust to 25 exhaust the gas in the chamber; a gas energizer comprising first and second electrodes that may be electrically biased to energize the gas, the second electrode being adapted to be chargeable to a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm; 30 a magnetic field generator adapted to provide a magnetic field of at least about 100 Gauss in the chamber; and a temperature control system adapted to control the temperatures of the substrate and chamber surfaces.
21. A chamber according to claim 20 wherein the magnetic field generator is adapted to provide a magnetic field of at least about 120 Gauss in the chamber.
22. A chamber according to claim 20 wherein the temperature control system is adapted to maintain the substrate at temperatures below about 240EC or at temperatures that vary less than about 5EC.
23. A chamber according to claim 20 comprising a controller to control one or more of the first and second electrodes, the magnetic field generator, and the temperature control system to set process conditions to etch substrate features having an aspect ratio of at least about 30 and an opening size of less than about 0.14 Φm.
24. A chamber according to claim 20 wherein the temperature control system comprises a liner comprising a smooth surface.
25. A chamber according to claim 20 wherein the second electrode comprises a dielectric covering a conductor, the dielectric comprising a resistivity of from about 1 x 109 to about 1 x 1013 ohms-cm.
26. A substrate etching method comprising:
(a) providing a substrate in a process zone of a chamber;
(b) introducing a gas into the process zone;
(c) energizing the gas by coupling electrical energy to the gas at a power equivalent to a power of at least about 3200 watts for a substrate having a diameter of about 200 mm;
(d) applying a magnetic field of at least about 100 Gauss in the chamber;
(e) controlling the temperatures of the substrate and chamber surfaces; and
(f) exhausting the gas.
27. A method according to claim 27 comprising applying a magnetic field of at least about 120 Gauss in the chamber.
28. A method according to claim 27 wherein the gas is energized by charging an electrode covered with a dielectric having a resistivity of from about 1 x 109 to about 1 x 1013 ohms-cm.
29. A method according to claim 27 comprising maintaining the substrate at temperatures below about 240EC or at temperatures that vary by less than about 5EC.
30. A substrate comprising etched features having an aspect ratio of at least about 30 and an opening size of less than about 0.14 Φm.
31. A substrate according to claim 30 comprising etched features having an aspect ratio of at least about 45.
32. A substrate according to claim 30 comprising etched features having an opening size of less than about 0.10 Φm.
PCT/US2001/046210 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate WO2002043116A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2003-7006046A KR20030051765A (en) 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate
EP01987258A EP1330839A2 (en) 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate
JP2002544762A JP2004529486A (en) 2000-11-01 2001-11-01 Apparatus and method for etching features having a high aspect ratio on a substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70525400A 2000-11-01 2000-11-01
US70488700A 2000-11-01 2000-11-01
US09/705,254 2000-11-01
US09/704,887 2000-11-01

Publications (2)

Publication Number Publication Date
WO2002043116A2 true WO2002043116A2 (en) 2002-05-30
WO2002043116A3 WO2002043116A3 (en) 2003-05-01

Family

ID=27107399

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/046210 WO2002043116A2 (en) 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate

Country Status (5)

Country Link
EP (1) EP1330839A2 (en)
JP (1) JP2004529486A (en)
KR (1) KR20030051765A (en)
CN (1) CN1471727A (en)
WO (1) WO2002043116A2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011205135A (en) * 2004-02-26 2011-10-13 Applied Materials Inc In situ dry clean chamber for front end of line fabrication
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9572526B2 (en) 2009-05-13 2017-02-21 Sio2 Medical Products, Inc. Apparatus and method for transporting a vessel to and from a PECVD processing station
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US10189603B2 (en) 2011-11-11 2019-01-29 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US10201660B2 (en) 2012-11-30 2019-02-12 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009117612A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Shielded lid heater assembly
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102576706B1 (en) * 2016-04-15 2023-09-08 삼성전자주식회사 Method of manufacturing semiconductor device
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
CN110233102B (en) * 2019-06-18 2021-01-29 北京北方华创微电子装备有限公司 Etching method
CN111048466B (en) * 2019-12-26 2022-08-16 北京北方华创微电子装备有限公司 Wafer clamping device
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
JP2023034881A (en) * 2021-08-31 2023-03-13 株式会社ニューフレアテクノロジー Discharge detection device and charged particle beam irradiation device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0553961A2 (en) * 1992-01-29 1993-08-04 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
WO1997047783A1 (en) * 1996-06-14 1997-12-18 The Research Foundation Of State University Of New York Methodology and apparatus for in-situ doping of aluminum coatings

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0553961A2 (en) * 1992-01-29 1993-08-04 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
WO1997047783A1 (en) * 1996-06-14 1997-12-18 The Research Foundation Of State University Of New York Methodology and apparatus for in-situ doping of aluminum coatings

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011205135A (en) * 2004-02-26 2011-10-13 Applied Materials Inc In situ dry clean chamber for front end of line fabrication
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US10390744B2 (en) 2009-05-13 2019-08-27 Sio2 Medical Products, Inc. Syringe with PECVD lubricity layer, apparatus and method for transporting a vessel to and from a PECVD processing station, and double wall plastic vessel
US9572526B2 (en) 2009-05-13 2017-02-21 Sio2 Medical Products, Inc. Apparatus and method for transporting a vessel to and from a PECVD processing station
US10537273B2 (en) 2009-05-13 2020-01-21 Sio2 Medical Products, Inc. Syringe with PECVD lubricity layer
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US11123491B2 (en) 2010-11-12 2021-09-21 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11884446B2 (en) 2011-11-11 2024-01-30 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US11148856B2 (en) 2011-11-11 2021-10-19 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US10189603B2 (en) 2011-11-11 2019-01-29 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US11724860B2 (en) 2011-11-11 2023-08-15 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US10201660B2 (en) 2012-11-30 2019-02-12 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US10363370B2 (en) 2012-11-30 2019-07-30 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US11406765B2 (en) 2012-11-30 2022-08-09 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US11344473B2 (en) 2013-03-11 2022-05-31 SiO2Medical Products, Inc. Coated packaging
US10016338B2 (en) 2013-03-11 2018-07-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US11298293B2 (en) 2013-03-11 2022-04-12 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US10537494B2 (en) 2013-03-11 2020-01-21 Sio2 Medical Products, Inc. Trilayer coated blood collection tube with low oxygen transmission rate
US11684546B2 (en) 2013-03-11 2023-06-27 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US10912714B2 (en) 2013-03-11 2021-02-09 Sio2 Medical Products, Inc. PECVD coated pharmaceutical packaging
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate

Also Published As

Publication number Publication date
EP1330839A2 (en) 2003-07-30
CN1471727A (en) 2004-01-28
JP2004529486A (en) 2004-09-24
KR20030051765A (en) 2003-06-25
WO2002043116A3 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
WO2002043116A2 (en) Etching of high aspect ratio features in a substrate
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US6095084A (en) High density plasma process chamber
US6916399B1 (en) Temperature controlled window with a fluid supply system
JP5086083B2 (en) Method for processing a substrate
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
JP5122966B2 (en) Surface wave plasma source
JP4861329B2 (en) Plasma processing system for processing substrates
US6308654B1 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
US6109206A (en) Remote plasma source for chamber cleaning
EP1108263B1 (en) Elevated stationary uniformity ring
US7998307B2 (en) Electron beam enhanced surface wave plasma source
US20040025791A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
EP0272142B1 (en) Magnetic field enhanced plasma etch reactor
JPH10189296A (en) Parallel plate electrode plasma reactor
EP1644962A2 (en) Substrate support having dynamic temperature control
JP5043439B2 (en) Silicon carbide parts of semiconductor substrate processing equipment treated to remove free carbon
EP1068632B1 (en) Contamination controlling method and plasma processing chamber
JP2008515160A (en) Method and system for improving the coupling between a surface wave plasma source and a plasma space
CN107686983A (en) The carborundum chemical vapor deposition of part net shape and part near-net shape
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 018179584

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020037006046

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002544762

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2001987258

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037006046

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001987258

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2001987258

Country of ref document: EP