KR20010082111A - Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스 - Google Patents

Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스 Download PDF

Info

Publication number
KR20010082111A
KR20010082111A KR1020010006465A KR20010006465A KR20010082111A KR 20010082111 A KR20010082111 A KR 20010082111A KR 1020010006465 A KR1020010006465 A KR 1020010006465A KR 20010006465 A KR20010006465 A KR 20010006465A KR 20010082111 A KR20010082111 A KR 20010082111A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
module
chambers
gas
Prior art date
Application number
KR1020010006465A
Other languages
English (en)
Inventor
해리 포네칸티
케빈 페어베른
새슨 소멕
티모시 위드먼
샤무일 샤무일리안
파해드 모가담
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010082111A publication Critical patent/KR20010082111A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Abstract

본 발명은 넓게는 기판 위에 저유전상수 필름 및 저유전상수 캡핑층을 증착하는 장치 및 프로세스를 제공한다. 저유전상수 필름은 계면활성제를 포함하는 졸 겔 선구체를 증착 및 경화시킨 중간 다공성 산화물 필름으로서, 지름이 균일한 상호연결 미세구멍을 가지는 산화물 필름을 형성하고, 이 필름을 불활성 가스 분위기에서 어닐링하거나 반응성 산소종을 포함하는 산화성 분위기에 노출시켜 중간 다공성 산화물 필름이 형성된다. 바람직한 중간 다공성 산화물 필름은 알콜계 용제 내의 계면활성제, TEOS를 포함하는 졸 겔 선구체, 물을 기판 위에 스핀온 증착하고, 졸 겔 선구체를 경화시켜 입방 상 필름을 형성하고, 필름을 산화성 분위기에 노출시킴으로써 형성된다. 본 발명의 장치는 카세트 프로세싱 시스템을 제공하는데, 이는 다수의 기판을 처리하며 유전체 필름과 같은 필름을 증착하기 위한 대기 프로세싱 모듈의 장점을, 고품질의 기판 처리, 높은 기판 수율 및 오염이 프로세스 오염이 감소되는 즉시 진공 캡핑 모듈의 장점과 결합시킨다.

Description

PECVD 캡핑 모듈을 포함하는, 유전상수가 낮은 유전체 증착을 위한 통합형 장비 및 프로세스{A PROCESS AND AN INTEGRATED TOOL FOR LOW K DIELECTRIC DEPOSITION INCLUDING A PECVD CAPPING MODULE}
본 발명은 넓게는, 집적회로 및 평판표시장치와 같은 전자 소자의 제조에 전형적으로 사용되는 다수의 기판을 처리하기 위한 장치 및 방법에 대한 것이다. 보다 구체적으로, 본 발명은 기판 위에 유전체를 증착하는 장치 및 방법에 대한 것이다.
반도체 소자의 형상은 수십년전 처음 나타난 이후 그 크기가 급격히 작아졌다. 이후 집적회로는 일반적으로 무어의 법칙(Moore's rule)이라고도 불리는 2년마다 크기가 반감되는 법칙을 따라왔으며, 이는 하나의 칩 위에 장착되는 소자의 수가 매 2년마다 배로 됨을 의미한다. 오늘날의 조립 공장은 0.35㎛, 심지어 0.18㎛의 구조 크기를 가지는 소자를 일상적으로 생산하고 있으며, 미래의 공장에서는 더 작은 형상의 소자를 생성시킬 수 있을 것이다.
집적회로 위의 소자 크기를 더욱 감소시키기 위해, 저항이 낮은 도전성 재료와 유전상수(k, 여기서 k < 4.0)가 낮은 절연체를 사용하여 이웃하는 금속선 사이의 용량 결합을 감소시킬 필요가 있다. k가 낮은 유전체는 스핀온 글라스(spin-on glass)법과 국제공개공보 WO 99/41423에 개시된 CVD법 모두에 의해 증착되어 왔다. WO 99/41423호에 개시된 바와 같이, 캡핑층(capping layers)을 포함하는 라이너/배리어(liner/barrier)층이 유전상수가 낮은 층에 인접하여 증착되어, 유전상수가 낮은 층으로부터 도전성 재료 위에 수분과 같은 부산물이 확산되는 것을 방지하여 왔다.
예를 들어, 저유전상수 절연체가 형성되는 동안 발생된 수분은 도전성 재료의 표면으로 용이하게 확산되어, 인접하는 도전성 금속 표면의 저항을 증가시킨다. 배리어/라이너 층은, 금속층이 저유전상수 층으로 확산되는 것의 방지 및/또는 부산물의 확산 저지를 하는 질화실리콘과 같은 종래의 실리콘 베이스 재료로 만들어지는 것이 일반적이다. 그러나 배리어/라이너 층은, 유전상수가 적어도 6.0인 질화실리콘과 같이 유전상수가 4.0보다 훨씬 큰 것이 바람직하며, 높은 유전상수는 유전상수를 상당히 감소시키지 않는 결합된 절연체 층으로 귀결될 수 있다.
유전상수가 낮은 필름 증착 프로세스의 예가 Brinker 등에 허여된 미국 특허 5,858,457호에 설명되어 있다. 상기 특허에는 기판 위에 다공성이 높은 저유전상수 필름을 형성하는 방법이 개시되어 있다. 이 구조는 일반적으로 졸 겔 선구체를 기판 위에 증착하고, 이후 졸 겔 선구체의 성분을 선택적으로 기화시켜 초분자 조립체를 형성한다. 이 조립체는 이후, 약 400℃에서 초분자 템플릿의 산화성 열분해에 의해, 규칙화된 다공성 필름(ordered porous film)으로 성형된다. 그러나 Brinker 등의 특허에서, 졸 겔을 다공성 필름으로 하소하는데는 열분해 단계에는 약 4시간이 소요된다. 이렇게 긴 시간은 현대 반도체 제조에 요구되는 높은 처리 시간에 적합하지 않다.
Brinker 등의 특허에 기재된 것과 같은 실리카계 필름은 친수성 필름일 경우가 많아 주위 환경으로부터 수분을 적극적으로 흡수한다. 유전상수가 약 78인 물이 다공성 필름에 의해 흡수되면, 다공성 필름의 유전체 특성이 해로운 영향을 받을 수 있다. 이들 친수성 필름은 수분 제거를 위해 종종 어닐링되지만 이는 일시적인 해결에 불과한데, 필름은 이 절차 이후의 수분 오염에도 여전히 민감하기 때문이다. 게다가 어닐링은 종종 시간이 많이 소요되는 작업인데 이 시간이 기판 처리 시간에 더해져 스루풋을 낮게 한다. 일반적으로 친수성 필름에서 수분 오염을 제한시키기 위해, 수분 오염을 방지하기 위한 캡핑층 또는 부동태층이 다공성 필름 위에 증착되거나, 실리레이션(silylation) 처리에 의해 필름이 친수성 필름으로부터 소수성 필름으로 변화된다.
캡핑층을 다공성 필름 위에 증착하는데 있어 한 가지 문제점은, 스핀코팅 및 스프레이 코팅 다공성 필름과 같은 다공성 필름이 대기압 즉 약 300Torr보다 높은 압력에서 증착되고, 캡핑층이 보통 진공 즉 약 100Torr보다 낮은 압력에서 수행되는 플라즈마강화 CVD(PECVD)에 의해 증착된다는 점이다. 이러한 진공 프로세싱 및 대기압 프로세싱은 보통 별개의 진공 및 대기압 처리 시스템 또는 클러스터 툴 장치(cluster tool apparatus)에서 수행되며, 여기서 한 프로세싱 시스템 또는 장치로부터 다른 시스템 또는 장치로의 이송에 의해 다공성 필름이 오염원에 노출된다. 클러스터 툴은 다중 챔버를 가지며, 통합식인 모듈라(modular) 프로세싱 시스템으로서, 중앙 처리 모듈 및 다수의 둘레 프로세스 챔버를 가지며, 도입된 기판은 다양한 챔버에서 차례로 일련의 프로세스 단계를 거쳐 집적회로를 형성한다. 클러스터 툴은 개선된 미소 전자 소자의 형성에 효과적인 것으로 받아들여지고 있다.
도 1은 진공 클러스터 툴(10)을 나타내는데, 이 툴은 다수의 단일 기판 프로세싱 챔버(12)를 포함하며, 이 챔버(12)는, 기판을 하나 이상의 로드록 챔버(20)에위치하는 기판 카세트로부터 하나 이상의 프로세스 챔버(12)로 이송하며 이송 챔버(18)로도 불리는 중앙화된 진공 챔버에 장착된다. 이 특정한 툴은 이송 챔버 둘레에 방사상으로 위치하는 4개까지의 단일 기판 프로세싱 챔버(12)를 수용하는 것으로 나타나 있다. 도 1에 나타난 것과 유사한 클러스터 툴은 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스에서 구할 수 있다. 프로세스 챔버(12) 사이에서의 기판 이송은 보통 중앙의 이송 챔버(12)에 위치한 기판 취급 모듈(16)에 의해 관리된다. 기판은 처리된 뒤 로드록 챔버(20) 그리고 기판 카세트로 다시 되돌려지며, 여기서 기판은 추가적인 처리를 위해 다음 시스템으로 옮겨질 수 있다. PVD, CVD, 에칭과 같은 다양한 프로세스가 프로세스 챔버(12)에서 수행될 수 있다.
보통 대기 프로세싱 클러스터 툴 및 진공 프로세싱 클러스터 툴은 일체로 되어 있지 않다. 프로세스 사이클 중 다양한 프로세스 단계에서 진공 프로세싱 툴에는 펌프에 의한 진공 또는 진공의 재설정이 요구된다. 이 진공에 대한 필요 때문에 프로세싱 시간이 길어지며, 대기 처리 툴에 비해 수율이 낮아지며, 이에 따라 이들 시스템의 통합이 바람직하지 않다. 그러나 클러스터 툴 사이에서 기판을 이송하면 이들 프로세스 기판의 오염으로 귀결될 수 있고, 이는 다공성 필름과 같이 오염에 민감한 필름의 이송에 큰 문제가 된다. 현재 산업계에는, 대기압 및 진공에 가까운 환경 모두에서 저유전상수 재료의 증착과 캡핑 재료의 증착을 결합시키는 클러스터 툴은 없다.
그러므로 높은 기판 수율로 저유전상수의 재료를 증착 및 캡핑할 수 있는 통합된 대기 및 진공 시스템이 필요하다. 이러한 통합 시스템은 진공 클러스터 툴과 대기 클러스터 툴 사이의 1회 이상의 이송을 제거함으로써, 증착된 물질의 오염을 이상적으로 감소시킬 것이다.
도 1은 반도체 기판의 배치 프로세싱을 위한 방사상 클러스터 툴의 개략적인 평면도이다.
도 2a는 본 발명의 캡핑 모듈과 고압 증착 모듈을 포함하는 장치의 한 실시예를 개략적으로 나타내는 평면도;
도 2b는 본 발명의 캡핑 모듈과 고압 증착 모듈을 포함하는 장치의 다른 실시예를 개략적으로 나타내는 평면도;
도 3a는 본 발명의 캡핑 모듈의 한 실시예에 대한 개략적인 평면도;
도 3b는 본 발명의 캡핑 모듈의 한 실시예에 대한 개략적인 평면도;
도 4는 본 발명의 로드록 챔버의 한 실시예에 대한 사시도;
도 5는 이송 챔버 내에 장착되며, 이송챔버 내에서 회전하거나 다른 챔버 내로 연장될 후퇴 위치에 있는 본 발명의 기판 취급 부재를 나타내는 프로세싱 챔버 및 이송 챔버의 개략적인 평면도;
도 6은 이송 챔버 내에 장착되며, 블레이드가 프로세싱 챔버 내에 위치하는 연장 위치에 있는 본 발명의 기판 취급 부재를 나타내는 프로세싱 챔버 및 이송 챔버의 개략적인 평면도;
도 7은 금속 열 어닐링 챔버(rapid thermal anneal chamber)의 단면도;
도 8은 본 발명의 캡핑 모듈 내에 포함된 PECVD 챔버의 한 실시예에 대한 사시도;
도 9는 본 발명의 PECVD 챔버의 단면도;
도 10은 PECVD 챔버를 위한 가스 분배 조립체의 분해도;
도 11은 리드가 제거된 상태의, 본 발명의 PECVD 챔버의 평면도;
도 12는 프로세스 제어를 위한 컴퓨터 프로그램의 계층 제어구조를 예시적으로 나타내는 블록도;
도 13은 입방 상 구조(cubic phase structure) 및 중간 다공성 필름 구조를 나타내는 중간 다공성 필름 프로세스를 도시하는 도면;
도 14는 본 발명의 저유전상수 산화실리콘층 및 캐핑층을 포함하는 이중 다마신 구조를 나타내는 단면도; 그리고
도 15a-h는 본 발명의 이중 다마신 증착 순서를 나타내는 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
120...캡핑층 모듈 201...주 프레임 구조
122...팩토리 인터페이스 124...이중 적층 냉각/예열 로드록
126...이송 챔버 127...기판 취급기
130...프로세스 챔버 134...가스 패널
136...파워 분배 패널 138...컴퓨터 제어 랙
본 발명은, 진공 및 대기압 또는 고압 조건 모두에서 기판 위에 저유전상수 필름 및 캡핑층과 같은 재료층을 증착하기 위한 프로세스 및 장치를 제공한다. 본 발명의 한 양태에서, 상기 장치는 대기 또는 고압에서 작동되는 프로세싱 플랫폼 위에 장착될 수 있는 진공 부근 압력 캡핑층 모듈(near vacuum pressure capping layer module)로서, 이 프로세싱 플랫폼은 추가로 저유전상수 층을 증착할 수 있다. 캡핑층 모듈은 진공 부근 처리 시스템을 포함하기 위한 카세트를 가지며, 이 시스템은 부착된 플랫폼에 증착되는 저유전상수의 다수 기판을 처리한다. 캡핑층 모듈은 단계로 된(staged) 진공 시스템으로서, 이는 하나 이상의 이송 챔버를 포함하며, 각 이송 챔버는 기판 취급기, 하나 이상의 로드록 챔버, 하나 이상의 로드록 챔버 내에 선택적으로 배치될 수 있는 하나 이상의 기판 예열 모듈, 및 하나 이상의 이송 챔버와 통하는 PECVD 증착 챔버를 내장한다.
본 발명의 장치는 이송 챔버에 연결된 로드록 챔버에 배치된 하나 이상의 기판 냉각 스테이션을 추가로 포함할 수 있다. 캡핑 모듈은, 하나 이상의 기판 취급 블레이드가 구비된 기판 취급부재를 가지는 것이 좋으며, 추가로 캡핑층의 증착 이전에 기판을 예열하기 위해 다수의 기판 및 다중 슬롯 예열 모듈을 승강(indexing)시키는 기판 인덱싱 장치를 포함하는 것이 좋다. 각 PECVD 챔버는 2개의 처리 영역을 가지는 것이 좋으며, 각 영역은 가열된 페데스탈, 가스 분배 조립체, 진공 펌핑 조립체 및 독립적인 RF 전력 및 온도 제어장치를 가져, 각 영역의 표면에 걸쳐 균일한 플라즈마 밀도를 제공하며, 각 플라즈마 영역은 원격 플라즈마 시스템 및 이송 챔버와 연통되어 있다.
본 발명의 다른 양태에 따르면, 기판을 처리하기 위한 장치는 고압 증착 모듈에 결합된 진공 부근 압력 캡핑층 모듈이다. 기판 처리 장치는 고압 증착 모듈, 고압 증착 모듈과 연통되어 있는 제 1 이송 챔버, 제 1 이송 챔버와 연통되어 있는 로드록 챔버, 각각 하나 이상의 로드록 챔버와 연통되는 기판 취급기를 내장하는 하나 이상의 제 2 이송 챔버, 상기 제 2 이송 챔버와 연통되며 선택적으로 하나 이상의 로드록 챔버 내에 배치될 수 있는 다중 슬롯 기판 예열 모듈, 상기 제 2 이송 챔버 내에 배치되는 기판 취급부재, 및 적어도 하나의 고립된 프로세싱 영역을 내부에 규정하는 하나 이상의 프로세싱 챔버를 포함하며, 각 프로세싱 영역은 하나 이상의 제 2 이송 챔버에 연결된다. 제 1 이송 챔버와 제 2 이송 챔버 사이의 캡핑 모듈 이송 기판의 로드록 챔버는 처리 이후의 기판 냉각 또는 처리 이전의 기판 가열을 제공할 수 있다.
고압 증착 모듈은 단계로 된 대기 시스템으로서, 하나 이상의 기판 스피너 챔버(spinner chamber), 하나 이상의 기판 경화 챔버(curing chamber), 진공 부근 조건으로 배기될 수 있으며 산소 및/또는 오존 대기 및 산소 함유 플라즈마에 적합할 수 있는 하나 이상의 기판 스트리핑 챔버(stripping chamber)(또는 하나 이상의 어닐링 챔버), 하나 이상의 실리레이션 증착 챔버, 및 고압 증착 모듈의 하우징 내에 배치되는 기판 취급 부재를 포함하는 것이 바람직하다. 다수의 챔버가 있는 것이 바람직하며, 각 형태의 챔버는 챔버 내에 수직으로 배치되는 적층에 장착된다. 기판 취급 부재는, 보통 2개의 암이 달린 취급기이며, 고압 증착 모듈 내의 모든 프로세싱 챔버에 대해 접근할 수 있는 독립적으로 움직이는 암이 구비된 것이 바람직하다.
본 발명의 다른 양태에서, 중간 다공성(mesoporous) 필름 구조를 가지는 저유전상수 유전체 필름을 증착하는 프로세스를 제공한다. 저유전상수 필름은, 기판 위에 증착된 졸 겔 선구체를 경화시켜 바람직하게는 지름이 균일한 상호 연결 미세구멍(pores) 더 바람직하게는 입방상구조(cubic phase structure)를 가지는 산화물 필름을 형성하고, 이 산화물 필름을 비반응성 분위기에서 약 200℃ 내지 약 450℃의 온도에서 가열하고, 바람직하게는 약 400℃ 내지 약 450℃에서 산화물 필름을 어닐링하거나, 약 200℃ 내지 약 400℃ 사이에서 펌(firm)을 반응성 산소종을 포함하는 산화성 분위기에 노출시켜 중간 다공성의 필름을 형성한다. 중간 다공성 필름은 적어도 50%의 다공성을 가질 것이며 유전상수는 약 1.6 내지 2.2일 것이다. 중간 다공성 산화물 필름은 이중 다마신 구조의 제조를 위한 금속간층(inter-metal layer)으로 사용될 수 있다. 바람직한 중간 다공성 산화물 필름은 에탄올 용매 내에 TEOS, 물 및 계면활성제를 포함하는 졸 겔 선구체를 스핀온 증착하고, 졸 겔 선구체를 경화시켜 지름이 균일한 상호 연결 미세구멍을 가지는 필름을 형성하고, 이 필름을 오존 플라즈마에 노출시킴으로써 생산된다.
본 발명의 장점과 목적이 구체적으로 이해되도록, 본 발명의 실시예를 첨부된 도면을 통해 설명한다.
그러나 첨부된 도면은 본 발명의 전형적인 실시예를 나타낼 뿐이며, 따라서 본 발명을 한정하는 것이 아니다. 본 발명은 동등하게 유효한 다른 실시예에도 적용될 수 있다.
본 발명은 저유전상수 필름과 같은 내부층 및 캐핑층을, 100Torr보다 낮은 진공과, 약 300Torr보다 큰 고압 조건 또는 대기압 모두의 조건에서 기판에 증착하기 위한 장치 및 프로세스를 제공한다. 본 발명의 한 양태에 따르면 장치는, 대기 또는 그보다 높은 압력에서 프로세싱 플랫폼에 장착되어 있는 진공 부근 압력의 캡핑 모듈이며, 프로세싱 플랫폼은 저유전상수의 유전층을 추가로 증착할 수 있다. 캐핑층 모듈은 진공 부근 프로세싱 시스템을 저장하기 위한 카세트를 가지며, 이는 부착된 플랫폼 내에서 증착되는 저유전상수 층을 가지는 다수의 기판을 처리한다. 캐핑층 모듈은, 각각 기판 취급기를 가지는 하나 이상의 이송 챔버, 하나 이상의 로드록 챔버, 하나 이상의 이송 챔버와 연통되며 하나 이상의 로드록 챔버 내에 배치될 수 있는 하나 이상의 다중 슬롯 기판 예열 모듈, 그리고 하나 이상의 이송 챔버와 연통되는 하나 이상의 PECVD 챔버를 포함하는 단계화된 진공 시스템인 것이 바람직하다. 영역을 처리하는 격리 수단(isolatable means)은, 인접하는 영역으로부터 분리된 정해진 플라즈마 구획(zone)을 가지며, 이는 배기 시스템을 통해 인접하는 영역과 선택적으로 연통될 수 있다.
각 PECVD 챔버 내의 프로세싱 영역 역시 별도의 가스 분배 조립체 및 RF 전원을 포함하여, 각 프로세싱 영역 내의 기판 표면에 걸쳐 균일한 플라즈마 밀도를제공하는 것이 바람직하다. PECVD 챔버는 적어도 2개의 영역에서 동시에 수행될 다수의 분리된 프로세스가 가능하도록 구성되어, 가스원, 배기 시스템, 별개의 가스 분배 조립체, 별개의 RF 전원 및 분리된 온도제어 시스템에 의해 제공되는 높은 제어 가능성을 가지고, 적어도 2개의 기판이 별도의 프로세싱 영역에서 동시에 처리된다. 설명을 용이하게 하기 위해, 프로세싱 영역이란 용어는 플라즈마 처리가 이루어지는 챔버 내의 구획을 나타내는데 사용된다.
본 발명의 다른 양상에서, 기판을 처리하기 위한 장치는 고압 증착 모듈이 결합된 진공 부근 압력 캡핑층이다. 기판을 처리하기 위한 장치는, 고압 증착 모듈, 고압 증착 모듈과 연통되어 있는 제 1 이송 챔버, 제 1 이송 챔버와 연통되어 있는 로드록 챔버, 제 2 이송 챔버와 연통되어 있으며 선택적으로는 로드록 챔버 내에 배치될 수도 있는 다중 슬롯 기판 예열 모듈, 제 2 이송 챔버 내에 배치되는 기판 취급부재, 각각 적어도 하나의 격리된 프로세싱 영역을 안에 규정하는 하나 이상의 프로세싱 챔버를 포함하며, 각 프로세싱 영역은 제 2 이송 챔버에 연결된다.
고압 증착 모듈은, 중간 다공성 필름을 위한 프로세싱 장치를 제공하는 단계화된 대기 시스템인 것이 바람직하다. 프로세싱 장치는 졸 겔 선구체의 증착을 위한 하나 이상의 스피너 챔버, 용제 또는 수분을 제거하여 지름이 균일하고 바람직하게는 입방 상의 구조를 가지는 필름 내의 인터커넥팅 미세구멍을 형성하기 위한 하나 이상의 큐어링 챔버, 계면활성제를 제거하여 중간 다공성의 필름을 만들기 위한 하나 이상의 기판 스트리핑 챔버(또는 어닐링 챔버), 그리고 사용자가 친수성중간다공성 필름을 소수성의 중간 다공성 필름으로 바꾸기 원할 경우에는 하나 이상의 실리레이션 증착 챔버를 포함한다. 다수의 챔버가 있고, 각 챔버는 모듈 내에 수직으로 배치된 적층으로서 장착되는 것이 바람직하다. 고압 모듈 증착은 이중 블레이드 기판 취급부재를 추가로 포함하며, 이는 일반적으로 하우징 내에서 모든 프로세싱 챔버에 대해 접근이 가능하다.
본 발명은 그 한 양태에 따라, 유전상수가 낮고 산화물 함량이 높은 저유전상수의 중간 다공성 산화물층을 증착하기 위한 프로세스를 제공한다. 중간 다공성 산화물층은 실리카 물질을 포함하며, 다른 유전체 물질 또는 에칭 스톱층, 예를 들어 이중 다마신 구조를 조립하기 위한 스톱층에 의해 캡핑 모듈 내에 캡핑될 수 있다. 저유전상수 층은 졸 겔 선구체를 경화시켜, 지름이 균일하고 바람직하게는 입방 상 구조인 인터커넥팅 미세구멍을 가지는 산화물 필름을 형성하고, 이 필름을 반응성 산소종을 포함하는 산화성 분위기에 약 200∼400℃에서 노출시켜, 계면활성제를 제거하고 중간다공성 산화물 필름을 형성한다. 중간 다공성 산화물 필름은 적어도 50%의 다공성과 약 1.6 내지 2.2 사이의 유전상수를 가질 것이다. 중간 다공성 필름은 또 금속간 유전체층으로서 사용될 수 있다. 바람직한 중간 다공성 산화물 필름은 테트라에틸오소실리케이트(TEOS), 물 및 기판 위의 에탄올 용제 내의 계면활성제를 포함하는 졸 겔 선구체를 스핀온 증착하고, 졸 겔 선구체를 경화시켜 지름이 균일하고 바람직하게는 입방 상 필름인 인터커넥팅 미세구멍을 형성하고, 산화성 분위기에서 계면활성제를 제거함으로써 제조된다.
도 2a 및 3a는 본 발명의 캡핑층 모듈(120)의 한 실시예를 개략적으로 나타낸다. 캡핑층(120)은 필름, 특히 PECVD에 의해 증착되는 캡핑 필름의 증착을 위한 진공 부근 압력 프로세싱 모듈이다. 여기서 진공 부근 압력은 약 100Torr 및 그 이하의 압력을 나타내며, 캡핑 모듈의 압력은 약 0.5Torr 내지 약 10Torr의 PECVD 챔버의 작동 압력과 유사하다. 모듈(120)은, 쉽게 설치되며 빠른 작동 시작을 제공할 수 있는 주 프레임 구조(201) 위에 지지되는 필요한 프로세싱 설비를 가지는 자기 포함 시스템이다. 일반적으로 모듈(120)은 4개의 영역을 포함한다. 즉 기판이 모듈(120) 내로 도입되는 팩토리 인터페이스(122), 팩토리 인터페이스(122) 내에 배치되는 이중 적층 냉각/예열 로드록 챔버(124)와 바람직하게 연통하는 기판 취급기(127)를 각각 저장하는 이송 챔버(126), 이송 챔버(126)와 단부에서 연결되는 하나 이상 그러나 바람직하게는 2개의 탠덤 또는 트윈 프로세스 챔버(130), 파워 분배 패널(136), 가스 패널(134)과 같은 모듈(120)을 작동시키는데 필요한 지지설비를 저장하는 후단부(140), 도 2b 및 도 3b에 나타난 것과 같은 컴퓨터 제어 랙(138)을 포함한다. 시스템은 PECVD와 같은 지지 챔버 하드웨어 및 다양한 프로세스를 수용하는데 적합할 수 있다. 이하 설명되는 실시예는, 중간 다공성 산화물 유전체 증착 프로세스와 같은 PECVD 프로세스를 채용하는 시스템에 대한 것이다. 그러나 이들 다른 공정도 본 발명에 의해 기대될 수 있다.
도 2b는 본 발명의 캐핑층 모듈(120)의 다른 실시예를 개략적으로 나타낸다. 캡핑 모듈(120)도 4개의 영역을 포함한다. 즉 기판이 모듈(120) 내로 도입되는 팩토리 인터페이스(122), 팩토리 인터페이스(122) 내에 배치되는 이중 적층 냉각 로드록 챔버(124)와 연통되며 기판 예열 스테이션(125)과 연통되는 기판취급기(127A, 127B)를 각각 내장하는 하나 이상의 이송 챔버(126A, 126B), 이송 챔버(126A, 126B) 내에 위치하며 이와 연통되는 하나 이상 그러나 바람직하게는 2개인 탠덤 또는 트윈 프로세스 챔버(130), 도 2a 및 2b에 나타난 것과 같이 가스패널(134), 전력 분배 패널(136) 및 컴퓨터 제어 랙(138)과 같이 모듈(120)의 작동에 필요한 지지 설비를 저장하는 후단부(140)를 포함한다. 기판 예열 스테이션(125)은 보통 다수의 수직으로 배치된 기판 홀더를 포함하며 기판을 가열하는 기판 예열 스테이션(125)을 포함한다. 기판 홀더 정렬 및 기판 가열 프로세스는 예열 로드록 챔버에 대한 이후의 설명에 더 자세히 기재된다. 그러나 본 발명은 다른 예열 스테이션에도 적용될 것으로 예상된다.
이송 챔버
도 2a는 본 발명의 프로세싱 모듈(120)의 한 실시예에 대한 개략적인 평면도이다. 프로세싱 모듈(120)은 챔버 측벽(133) 내의 이송 챔버(126)를 둘러싼다. 이송 챔버는 측벽(133) 및 바닥(135)을 포함하며, 알루미늄과 같은 재료의 한 개 부품으로 가공되거나 다른 방법으로 조립되는 것이 바람직하다. 이송 챔버(126)를 위한 리드(미도시)는 작동 중 측벽(133) 위에 지지되어 진공 인클로져를 형성한다. 이송 챔버(126)의 측벽(133)은 프로세싱 챔버(130)를 지지하며 팩토리 인터페이스(122)에 대한 부착을 제공하며, 인터페이스(122)는 하나 이상의 냉각/예열 로드록 챔버(124)(도 4에 나타남)를 포함한다. 로드로 챔버(124)는 슬릿 밸브(121)를 통해 다른 이송 챔버에의 접근을 제공하거나 프로세싱 챔버(130) 내에서 프로세싱을 위한 기판 삽입점으로서 작동한다. 이송 챔버(126)의 측벽(133)은 양쪽에 통로(128, 132)를 규정하며, 이 통로를 통해 시스템 내의 다른 챔버로의 접근이 제공된다. 측벽(133)을 통해 배치되는 통로(128, 132)는 2개의 개별적인 슬릿 밸브 또는 탠덤 슬릿 밸브 조립체를 통해 개폐될 수 있다. 통로(128)는 팩토리 인터페이스, 또는 기판이 이송 챔버(126) 내로 도입될 수 있는 기판 스테이징 영역(122)에 대한 접근을 제공한다. 통로(132)는, 프로세싱 영역(618, 620)(도 9에 나타난) 내의 기판 통로(610)와 결합하여, 기판이 챔버(130) 내의 프로세싱 영역(618, 620) 내로 들어가, 기판 히터 페데스탈(628) 위에 놓이도록 한다.
프로세싱 챔버(130) 및 기판 스테이징 영역(122)은 슬릿 밸브 개구 및 슬릿 밸브(128, 132)를 포함하며, 이들은 프로세싱 챔버(130), 기판 스테이징 영역(122) 및 이송 챔버(126) 사이를 연통시키면서, 이들 챔버 각각 내의 환경의 진공 격리를 제공하여 시스템 내에 단계화된 진공이 가능하도록 한다. 슬릿 밸브와 슬릿 밸브를 제어하는 방법은 Tepman 등의 미국 특허 5,226,632 및 Lorimer의 미국 특허 5,363,872에 기재되어 있다. 이송 챔버(126)의 바닥(135)은 중앙 통로(미도시)를 규정하며, 여기에는 기판 취급기 조립체와 같은 기판 취급기(127)가 연장되며, 이는 이송 챔버(126)의 바닥(135)에 장착된다. 가스 퍼지 포트(미도시)는 이송 챔버(126)의 바닥(135)을 통해 배치되어 펌핑 다운되는 동안 퍼지 가스를 제공한다.
도 2b는 본 발명의 프로세싱 모듈(120)의 다른 실시예를 개략적인 평면도이다. 프로세싱 모듈(120)의 제 2 실시예는 2개의 이송 챔버(126A, 126B)를 챔버 측벽(133) 내에 포함한다. 이송 챔버(126A, 126B)는 서로 격리되어 있으며, 하나 이상의 냉각 챔버와 그 자신에 대해 수직으로 배치되는 하나 이상의 예열 로드록 챔버(124)만을 포함하는 것이 바람직한 팩토리 인터페이스(122) 및, 하나 이상의 프로세싱 챔버(130) 또는 하나 이상의 프로세싱 영역(618, 620)을 포함한다. 이송 챔버(126A, 126B)를 위한 측벽(133)은 각각의 측면에 통로(128, 132)를 포함하며, 이를 통해 시스템의 다른 챔버로의 접근이 제공된다.
캡핑 모듈의 이송 챔버 내에서의 기판 취급
도 2a에서, 전단 스테이징 영역(front end staging area; 122)에 의해 캡핑층 모듈(120)에 제공되는 기판은 캡핑층 모듈(120)에 의해 아래와 같이 취급된다. 일단 전단 스테이징 영역(122)이 장착되면, 스테이징 영역(122)으로의 이송 챔버 전단 진공 도어(128)는 폐쇄되며 이송 챔버(126)는 진공 프로세싱 상태로 펌핑 다운된다. 이송 챔버(126)는 캡핑 모듈(120) 위에 배치되는 하나 또는 2개의 탑재식 진공 펌프(미도시)에 의해 펌핑 다운된다. 충분히 낮은 압력으로의 진공 펌핑 및 이후의 로드록(124), 바람직하게는 예열 컴파트먼트(244)(도 4에 나타남) 내에서의 기판 예열 이후, 이송 챔버(126)의 공기 작동식 전단 진공 도어(128)가 열리고, 동시에 이송 챔버(126)와 전단 스테이징 영역(122) 사이의 접근을 제공한다. 기판 취급 부재(127)는, 기판 스테이징 영역(122) 내에 위치하는 이중 적층 냉각/예열 로드록 챔버(124) 내에 유지되는 기판을 승강시킨다. 이후 이송 챔버(126) 내의 기판 취급부재, 이중 블레이드 이송 챔버 기판 취급 부재(127)는 동시에 기판을 전단 스테이징 영역(122)에 위치된 각 이중 적층 냉각/예열 로드록 챔버(124)로부터 회수하며, 캡핑 모듈(120)의 구성에 따라, 동시에 기판을 트윈 프로세싱 챔버(130)의 프로세싱 영역(618, 620) 내로 이송하거나, 각 기판을 별개의 프로세싱 챔버(130) 내로 이송한다. 이와 달리 기판은 진공 펌핑되는 동안 프로세싱 챔버(130)로의 슬릿 밸브(132)의 앞쪽에 미리 놓일 수 있다.
일단 기판이 놓이면, 이송 챔버 기판 취급기(127)는 프로세싱 챔버(130)로부터 후퇴되고 슬릿 밸브(132)가 폐쇄된다. 고압 증착 모듈(101) 내에서 이미 유전체층이 증착된 기판은, 이후 프로세싱 챔버(130) 내에서 PECVD에 의해 캡핑층으로 증착된다. 처리가 완료된 뒤, 슬릿 밸브(132)는 개방되고 이송 챔버 기판 취급기(127)는 기판을 프로세싱 영역(618, 620)으로부터 제거하며 이중 적층 냉각/예열 로드록 챔버(124)의 냉각 컴파트먼트(242) 내에서 기판을 증착한다. 예열 모듈(124)에서 기판을 증착한 뒤, 기판 취급기는 승강 순서에 지시된 대로 이중 적층 냉각/예열 로드록 챔버(124)로부터 다음 쌍의 기판을 회수한다. 그리고 이 기판은 선행 기판과 마찬가지로 기판 이송 취급기(127)에 의해 이송, 처리 및 회수된다. 이 과정은 예열 컴파트먼트(244) 내의 모든 기판이 PECVD 챔버(130) 내에서 처리되고 냉각 컴파트먼트(244) 내에서 증착될 때까지 계속된다. 마지막 기판이 처리된 뒤, 프로세싱 챔버로 통하는 슬릿 밸브(132)가 닫힌다.
이후 이송 챔버(126)는 아르곤과 같은 불활성 가스를 사용하여 대기압으로 환기되며, 전단 진공 도어(128)가 개방된다. 이송 챔버 배기는, 마지막 기판 쌍이 처리된 뒤 슬릿 밸브(132)가 닫히자마자 선택적으로 시작될 수 있다. 이에 따라마지막 기판 세트가 이중 적층 예열/냉각 로드록 챔버(124)로 복귀됨에 따라 이송 챔버(126)가 배기될 수 있어, 캡핑 모듈(120) 내의 프로세싱 시간이 감소된다. 일단 배기가 완료되면, 고압 증착 모듈(101)의 이송 챔버 기판 취급기(112)는 이중 적층 냉각/예열 로드록 챔버(124)로부터 기판을 회수하며, 동시에 모든 처리된 기판을, 고압 증착 모듈(101)의 전단 스테이징 영역(102)에 위치하는 기판 카세트(104)로 언로딩한다.
각 뱃치(batch)의 마지막 기판 쌍이 처리되고 프로세싱 챔버(130)로부터 제거되며 슬릿 밸브(132)가 폐쇄된 뒤, 프로세스 챔버 세정 프로세스가 시작되어 프로세싱 챔버를 다음 기판 뱃치에 대해 준비시킬 수 있다. 이에 따라 이송 챔버(126)가 배기되고 기판이 교환되면서, 한편으로는 세정 프로세스가 진행될 수 있다.
고압 증착 모듈
다시 도 3a의 본 발명의 다른 실시예에서, 캡핑층 모듈(120)은 고압 증착 모듈(101)과 기판 스테이징 영역(122)을 통해 결합되어 있다. 고압 증착 모듈(101)은 이하 설명되는 중간 다공성 산화물 필름유전체 물질과 같은 유전체 물질을 포함하는 것이 바람직하며, 종종 고압 증착 모듈이라 불린다. 고압 증착 모듈(101)은 필름을 증착하기 위한 대기압 부근의 압력 처리 모듈이며, 여기서 고압 또는 대기압 부근 압력은 약 300Torr 그리고 그보다 높은 압력, 바람직하게는 500Torr보다 높은 압력을 의미한다.
결합된 캡핑층 모듈(120) 및 고압 증착 모듈(101)은 본 발명의 프로세싱 시스템(100)을 형성한다. 기판 스테이징 영역(122)은 이중 적층 냉각/예열 로드록 챔버(124)를 사용하여 기판을 캡핑층 모듈(120)과 고압 증착 모듈(101) 사이에서 이송한다. 고압 증착 모듈(101)은 단계화된 대기압 시스템인 것이 바람직하며, 이는 각각 슬릿 밸브(113)가 구비된 하나 이상의 기판 스피너 챔버(114), 각각 슬릿 밸브(115)가 구비된 하나 이상의 기판 경화 챔버(116), 각각 슬릿 밸브(117)가 구비된 하나 이상의 기판 스트리핑 부재(118), 각각 슬릿 밸브(119)가 구비된 하나 이상의 실리레이션 증착 챔버(123), 냉각 스테이션(111) 내의 이중 적층 냉각 스테이션(110) 및 고압 증착 모듈(101)의 이송 챔버(108) 내에 배치되는 기판 취급 부재(112)를 포함한다. 각각 적어도 하나의 스피너 챔버(114), 경화 챔버(116), 스트리핑 챔버(118), 실리레이션 챔버(123)가 있는 것이 바람직하며, 각 형태의 챔버는 고압 증착 모듈의 이송 챔버(108) 내에서 수직으로 떨어져 있는 적층 내에 장착되는 것이 바람직하다.
도 3b에 나타난 바와 같이, 기판 경화 챔버(116)와 같은 하나 이상의 챔버는 효과적인 공간 유지를 위해 로드록(124) 내에 또는 그 위에 장착될 수 있다. 기판 취급 부재(112)는 일반적으로 2개의 암이 달린 기판 취급기(112)이며, 바람직하게는 독립적인 회전 운동을 하는 2개의 암을 가지며, 각 암은 모듈(101)의 이송 챔버(108) 내의 다양한 챔버에 접근할 수 있다. 이와 달리, 2개의 암이 달린 기판 취급기(112)는 탠덤 이동 암을 가지며 바람직하게는 캡핑층 모듈(120)의 기판 취급기(127)와 같은 모델이다.
고압 증착 모듈(101)의 전단 스테이징 영역(102)은 보통, 고압 증착 모듈(101)의 이송 챔버(108)에 결합된 스테이징 플랫폼(102) 위에서 서로 수평으로 거리를 둔 관계로 장착되는 하나 이상의 기판 카세트(106)를 가진다. 기판 카세트(106)는 2 이상의 카세트 판(미도시) 또는 적층된 수직 배열 내에 배치된 기판을 지지하기 위해 수직인 관계로 떨어져 배치되는 다른 기판 지지체를 포함한다. 기판 레스트(rest; 103)는 냉각 스테이션(111) 내의 이중 적층 냉각 스테이션(110) 및 로드록(106) 사이에 배치되어, 냉각 스테이션(111)과 로드록(106) 사이에서 기판을 교환하는 동안 기판을 위한 냉각 레스트를 제공한다. 이와 달리 기판 레스트(103)는 처리를 위해 모듈(101) 내로 통과하는 기판을 위한 예열 스테이션을 제공한다.
한 쌍의 기판 취급기, 또는 스테이징 기판 취급기(104)가 전단 스테이징 영역(102)에 배치된다. 스테이징 기판 취급기(104)는 기판을 고압 증착 모듈(101) 또는 고압 장착 모듈(101)의 기판 카세트(106)로 기판을 장착하거나 이로부터 기판을 제거하는데 적합하며, 스테이징 기판 취급기(104)는 고압 증착 모듈(101)의 이중 적층 냉각 스테이션(110) 및 기판 카세트(106) 사이에 위치하는 것이 바람직하다. 스테이징 기판 취급기(104)는 기판 승강 시스템을 포함하여, 각 카세트(106) 내의 기판을 승강시켜 기판을 고압 증착 모듈(101) 내로 장착할 준비를 한다. 본 발명에 사용되는 것이 유리한 기판 맵핑 시스템(mapping system)이 구비된 하나의 기판 취급기는 미국 캘리포니아 써니베일(Sunnybavle)에 위치한 Equippe Technologies사의 모델 ATM 105 또는 107로서 구입할 수 있다. 기판 맵핑 센서는,유전체 증착을 위해 기판을 고압 증착 모듈(101)의 이송 챔버(108) 내로 이송하기 전에 카세트(106) 내의 기판 방향과 기판의 수를 확인한다.
도 3a에 나타난 고압 증착 모듈(101)은 2개의 수직으로 적층된 이중 기판 스피너 챔버(114), 4개의 수직 적층된 기판 경화 챔버(116)의 2 칼럼, 4개의 수직으로 적층된 기판 스트리핑 챔버(118) 쌍 및 실리레이션 증착 챔버(123)를 포함한다. 수직으로 장착된 챔버는 모두 챔버(114, 116, 118, 123)에 대해 중앙에 배치된 기판 취급기(112)를 면하고 있다.
고압 증착 모듈 내에서의 기판 취급
유전체 기판 취급 프로세스는 스테이징 기판 취급기(104)가 각 기판 카세트(106) 내에서 기판을 승강시키는 것으로부터 시작된다. 일단 승강되면, 기판은 스테이징 기판 취급기(106)에 의해 냉각 스테이션(111) 내의 이중 적층 냉각 스테이션(110)으로 이송된다. 고압 증착 모듈 기판 취급기(112)는 이중 적층 냉각 스테이션(110)으로부터 기판을 회수하여 유전체 기판 스퍼터 챔버(114)로 보내 졸 겔 선구체 층의 증착을 한다. 모듈 기판 취급기(112)는, 프로세싱이 일어나기 전에 기판 스피너 챔버(114)를 채우거나, 또는 하나 이상의 스피너 모듈(114)이 기판을 처리하는 동안 기판 스피너 모듈(114) 내의 기판을 증착하도록 다수의 스피너 챔버에 대해 프로그램될 수 있다. 일단 졸 겔 선구체가 증착되면, 모듈 기판 취급기(112)는 기판을 회수하고 경화 또는 베이킹 챔버(116)로 이송한다. 유전체 증착 순서 중 다른 단계에 비해 상대적으로 긴 경화 때문에, 그에 비례하여 큰 수의 경화 챔버(116), 바람직하게는 2개의 기판 스피너 챔버(114) 당 약 8개의 경화 챔버가 모듈(101)의 이송 챔버(108) 내에 위치한다. 모듈 기판 취급기(112)는 프로세싱 전에 스핀온 증착된 기판으로 경화 챔버(116)를 충전하거나 원하는 대로 경화 챔버(116) 내에 기판을 장착 및 탈착하도록 프로그램될 수 있다. 원하는 양의 경화가 이루어진 뒤, 기판은 기판 스트리핑 챔버(118)로 이송된다. 기판은 오존 스트리퍼 내에 놓여, 경화된 졸 겔 선구체에 남아 있는 계면활성제를 제거한다. 반면 도시되지 않았지만 광학 어닐링 챔버는 모듈(101)의 이송 챔버(108) 내에 배치되어, 기판으로부터 수분, 용제 또는 계면활성제의 제거를 위해 기판을 어닐링하여, 오존 스트립을 위해 기판을 준비하거나, 오존 스트리핑 외에 중간 다공성 필름을 형성하는 다른 방법을 제공할 수 있다.
증착된 유전체 필름이 실레이트되면, 기판은 기판 스트리퍼 챔버(118)로부터 회수되고 실리레이션 챔버(123)로 이송된다. 이와 달리, 증착될 캡핑층에 대해, 캡핑층 모듈(120)을 위해 기판이 기판 스테이징 영역(122)으로 이송된다. 실리레이션 챔버(123) 또는 캡핑 모듈(120)에 의해 일단 프로세싱되면, 기판 취급기(112)는 기판을 회수하고 이중 적층 냉각 스테이션(110)을 통해 기판 카세트(106)로 이송한다.
전단 스테이징 영역
도 2 및 3에서, 팩토리 인터페이스 또는 기판 스테이징 영역(122)은 대기압 장치로서, 기판 스테이징 영역으로부터, 진공 펌핑 전 고압 증착 모듈(101)과 같이전형적으로 대기압 또는 그 부근 압력에서 작동되는 챔버로 기판을 빠르게 이송한다. 도 3a는 모듈(101)의 전단 스테이징 영역(102)을 나타내는데, 이는 처리를 위해 이중 적층 냉각/예열 로드록 챔버(124) 내에 장착되는 하나 이상의 기판 카세트를 가지는 이중 적층 냉각/예열 로드록 챔버(124)를 포함하는 것이 바람직하다. 기판 카세트는 수직으로 떨어져 설치되는 복수의 기판을 지지하도록 설계되며, 기판 취급 부재(112, 127)는 기판 카세트의 다른 쪽으로부터 기판을 회수 및 증착할 수 있다. 도 2a에 나타난 다른 실시예에서, 로드록 챔버(124) 역시 모듈(101, 102) 사이의 기판 이송을 위한 냉각 스테이션으로 기능하며, 예열은 별개의 챔버 내에서 이루어진다.
프로세싱 전 또는 후에 냉각/예열 로드록 챔버(124) 내에 저장된 기판은 이송 챔버 측벽(133)을 통해 배치되는 하나 이상의 이송 챔버 도어(128)(도 2a에 나타난)를 통해 모듈(120) 내로 장착된다. 이송 챔버(126) 내의 기판 취급기(127)는 냉각/예열 로드록 챔버(124) 및 이송 챔버 도어(128)에 인접하여 위치한다. 기판 취급기(127)는 기판 맵핑 시스템을 포함하여 기판을 각 기판 카세트 내에서 승강시켜, 기판을 이송 챔버(126) 내에 장착된 프로세싱 챔버(130) 내로 장착 및 탈착하기 위한 준비를 한다.
기판 취급기(127)는 다른 기판 취급기(112)(도 3a에 도시)와 동시에 로드록 챔버(124) 내로 들어갈 수 있는데, 이는 로드록이 기판을 고압 증착 모듈(101)로부터 로드록 챔버(124) 내로 이송하기 위해 대기압에 있기 때문이다. 이송 챔버(126) 측부(128)의 개구는 이송 챔버(126)의 진공 펌핑 이전에 닫혀 있을 것이며, 이는기판을 캡핑층의 증착을 위해 프로세싱 챔버(130) 내로 이송하기 전에 이루어진다.
이중 위치 로드록 챔버
도 4는 본 발명의 냉각/예열 로드록 챔버(124)의 절취 사시도를 나타낸다. 냉각/예열 로드록 챔버(124)는 챔버 벽(202), 바닥(204) 및 리드(206)를 포함한다. 챔버(126)는 2개의 분리된 인바이런먼트 또는 컴파트먼트(242, 244) 및 이송 영역(246)을 포함한다. 컴파트먼트(242, 244)는 그 각각에 기판 카세트를 포함하여 기판을 그 안에 지지한다. 각 컴파트먼트(242, 244)는 지지 플랫폼(248) 및 상부 플랫폼(250)을 포함하여 상부 및 하부가 규정된다. 지지벽(252)은 컴파트먼트(242, 244) 내에서 수직으로 지지되어 플랫폼(248, 250)을 서로 떨어진 관계로 지지한다. 이송 영역(246)은, 냉각/예열 로드록 챔버(124)로부터 이송 챔버(108, 126) 내로의 접근을 제공하기 위한 하나 이상의 통로(121)를 포함한다. 통로(121)는 슬릿 밸브 및 슬릿 밸브 액추에이터를 사용하여 개폐되는 것이 바람직하다.
컴파트먼트(242)는 이송 챔버(108)의 프로세싱 챔버 또는 캡핑 모듈(120) 내에서의 처리를 제공한다. 도 2a에 나타난 다른 예에서, 양 컴파트먼트(242, 244)는 이송 챔버(108)의 프로세싱 챔버 또는 캡핑 모듈(120) 내의 처리에 이은 기판을 냉각 스테이션에 제공할 수 있다.
컴파트먼트(244)는 컴파트먼트(242)에 대해 선택적으로 가열될 수 있어, 캡핑 모듈(120)의 프로세싱 챔버(130) 내에서 기판의 처리 이전에 예열 모듈로서 작동한다. 가열 컴파트먼트(244)는 가열 램프, 유체 열교환기 또는 저항가열요소와 같은 가열 요소를 가져, 기판을 선택적으로 가열하거나, 또는 이와 달리, 컴파트먼트(244) 내의 모든 기판을 동시에 가열하기 위한 가열 요소를 포함할 수 있다. 로드록(124)의 다른 실시예에서, 경화 모듈(116)은 예열 컴파트먼트(244) 내에 장착되어, 공간을 효과적으로 보존하면서 증착된 필름의 경화 또는 모듈(120) 내에서의 프로세싱 이전에 기판의 예열을 제공한다.
컴파트먼트(242, 244)는 각각 엘리베이터 샤프트(224)에 연결되며, 이들 각각은 스테핑 모터 등과 같은 모터에 연결되어, 컴파트먼트를 냉각/예열 로드록 챔버(124) 내에서 위로 또는 아래로 이동시킨다. 실링 플랜지(256)는 냉각/예열 로드록 챔버(124) 내에서 둘레부에 배치되어, 컴파트먼트(242)의 지지 플랫폼(248)을 위한 실링면을 제공한다. 실링 플랜지(258)도 유사하게 배치되어 컴파트먼트(244)의 지지 플랫폼(250)을 위한 실링면을 제공한다. 컴파트먼트(242, 244)는 실링 플랜지(256, 258)에 의해 서로 격리되어 냉각/예열 로드록 챔버(124) 내에서 컴파트먼트(242, 244)의 독립적으로 단계화된 진공을 제공한다.
후면 압력은 공간(260, 262) 내에 배치된 진공 포트에 의해 유지된다. 진공펌프는 배기라인(264)을 통해 공간(260, 262)에 연결되어, 고압이 공간(260, 262)에 제공되어 플랫폼(248, 250)을 실링 플랜지(256, 258)에 대해 실링할 수 있다.
작동시, 컴파트먼트(242, 244)는 도 4에 나타난 위치로 장착 또는 탈착될 수 있다. 장착 도어 및 액추에이터(미도시)는, 컴파트먼트(242, 244)에 대응되는 냉각/예열 로드록 챔버(124)의 하한 및 상한에서 전면 벽(미도시)을 통해 제공된다.선택된 컴파트먼트 내의 압력은 기판이 컴파트먼트 내에 장착된 뒤 배기라인(287, 289)을 통해 펌핑되며, 선택된 컴파트먼트는 이송 영역(246)으로 이동된다. 컴파트먼트(242, 244)는 스텝퍼 모터에 의해 이송 영역(246) 내로 독립적으로 이동된다. 상부 및 하부 컴파트먼트(242, 244)의 이점은, 한 세트의 기판이 다른 컴파트먼트 내로 장착되는 동안 다른 세트의 기판이 처리될 수 있다는 점, 그리고 컴파트먼트가 적절한 압력으로 감압되어 이송 영역(246)으로 이동되고 이송 챔버(108, 126)와 연통될 수 있다는 점이다.
이송 챔버 기판 취급기
도 5는 본 발명의 자기적으로 결합된 기판 취급기(500)의 한 실시예를 이송 챔버(126) (또는 전술된 이송 챔버(106)) 내에서 자유롭게 회전하기 위한 후퇴된 위치로 나타낸다. 이중 기판 취급 블레이드(520, 522)를 가지는 기판 취급기는 이송 챔버(126) 내에 위치하여 기판(502)을 한 챔버로부터 다른 챔버로 이송한다. 본 발명에서 수정되고 사용될 수 있는 "초고생산성(very high productivity; VHP)" 형태의 기판 취급기는 제목이 "Two-axis Magnetically Coupled Substrate handler"인 1995년 11월 21일 부여된 미국 특허 5,469,035의 주제이다.
자기적으로 결합된 기판 취급기(500)는 (자기 클램프라고도 불리는) 2개의 진공측 허브 사이에 연결되는 개구리 다리 형태의 조립체 및 2개의 기판 블레이드(520, 522)를 포함하여 기판 핸들러 블레이드가 고정된 평면 내에서 반경방향과 회전방향 운동을 할 수 있게 한다. 회전 운동 및 반경방향 운동은 2개의기판을 시스템(100) 내의 한 위치로부터 다른 위치로, 예를 들어 한 프로세싱 챔버(130)로부터 로드록 챔버(124)와 같은 다른 챔버로 픽업, 이송 및 공급하기 위해 결합되거나 조정될 수 있다. 도 2b에 나타난 실시예에서 하나의 암을 갖춘 로봇이 이송 챔버(126a, 126b) 내에 배치된다.
기판 취급기는 점(525)에서 제 1 자석 클램프(524)에 견고하게 부착되는 제 1 지주(504) 및 점(527)에서 제 2 자석 클램프(526)(제 1 자석 클램프(524) 아래에 동심으로 배치되는)에 견고하게 부착되는 제 2 지주(506)를 포함한다. 제 3 지주(508)는 피봇(510)에 의해 지주(504)에 그리고 피봇(512)에 의해 기판 블레이드 조립체(540)에 부착된다. 제 4 지주(514)는 피봇(516)에 의해 지주(506)에 그리고 피봇(518)에 의해 기판 블레이드 조립체(540)에 연결된다. 지주(504, 508, 506, 514) 및 피봇(510, 512, 516, 518)의 구조는 기판 블레이드 조립체(540)와 자석 클램프(524, 526) 사이에서 "개구리 다리(frog leg)"형태의 연결을 형성한다.
자석 클램프(524, 526)가 같은 각속도로 같은 방향으로 회전할 때, 기판 취급기(500) 역시 축 A 둘레를 같은 방향 및 속도로 회전한다. 자석 클램프(524, 526)가 같은 절대 속도로 같은 방향으로 회전할 때는 조립체(500)는 회전하지 않으며, 그러나 도 6에 나타난 위치로 기판 블레이드 조립체(540)는 선형 반경방향 이동을 한다.
2개의 기판(502)이 기판 블레이드 조립체(540) 위에 장착된 상태로 나타나, 각각의 기판 블레이드(520, 522)가 이송 챔버(126) 측벽(133) 내의 각 기판 통로(132)를 통해 연장되어 기판(502)을 챔버(130)의 프로세싱 영역(618, 620) 내로 또는 이 영역으로부터 이송할 수 있음을 보여주고 있다. 자기적으로 결합된 기판 취급기(500)는 2 모터의 속도에 대응되는 자기 클램프(524, 526)의 상대적인 운동에 의해 제어된다. 양 모터가 자기 클램프(524, 526)를 같은 방향으로 같은 속도로 회전하도록 하는 제 1 작동 모드가 제공된다. 이 모드는 자기 클램프의 상대적인 운동을 유발하지 않기 때문에, 기판 취급기는 단지 중심축 A를 중심으로, 보통 한 쌍의 처리 영역(618, 620)에 대해 기판 교환에 적합한 위치로부터 다른 쌍의 처리 영역에 대해 기판 교환에 적합한 위치로 회전할 것이다.
게다가 완전히 후퇴된 기판이 중심축 A 둘레를 회전함에 따라, 기판의 에지를 따른 최외각의 반경방향 점(548)은 기판 취급기를 회전시키는데 필요한 최소 원형 영역(550)을 규정한다. 자기적으로 결합된 기판 취급기 역시 제 2 모드를 제공하는데, 여기서는 양 모터가 자석 클램프(524, 526)가 반대 방향으로 같은 속도로 회전하도록 한다. 이 제 2 모드는 기판 블레이드 조립체의 기판 블레이드(520, 522)를 통로(132)를 통해 프로세싱 영역(618, 620) 내로 연장시키거나 또는 이와 반대로 이로부터 기판을 제거한다. 기판 취급기(500)가 축 A 둘레를 회전함에 따라 기판 블레이드 조립체(540)를 동시에 연장 또는 회전시키기 위해 모터 회전의 다른 여러 조합이 제공될 수 있다.
기판 블레이드 조립체(540)의 기판 블레이드(520, 522)를 회전축 A로부터 반경방향으로 멀리 향하여 유지되도록 하기 위해, 피봇 또는 캠(512, 518) 사이에 상호잠금 기구(interlocking mechanism)가 사용되어, 각 피봇의 같고 반대방향인 각방향 회전을 보장한다. 상호잠금 기구는, 도 8의 형상 또는 그와 동등한 피봇 둘레로 당겨지는 스트랩 또는 인터메쉬된 기어(intermeshed gear)를 포함하는 다양한 디자인으로 이루어질 수 있다. 바람직한 상호잠금 기구의 하나로서, 기판 블레이드 조립체(540)의 피봇(512, 518) 사이에서 결합되고 이들 사이로 연장되는 한 쌍의 금속 스트랩(542, 544)이 있다. 스트랩(542, 544)은 피봇(512, 518)을 연결한다. 스트랩(542, 548)은 개별적으로 조정 가능하며 서로 위에 위치한다. 도 5 및 6에서, 스트랩은 U자형 이중 블레이드의 베이스에서 로드(546) 둘레를 통과하는 것으로 나타나 있다. 이중 블레이드 탠덤 기판 핸들러가 이송 챔버(126)에 사용될 때, 상술한 기판 취급기가 사용되는 것이 바람직하다.
도 6은 도 5의 기판 블레이드 조립체 및 기판 취급기 암을 연장된 위치에서 나타낸다. 이러한 연장은 자석 클램프(526)를 시계방향으로 그리고 자석 클램프(524)를 반시계방향으로 동시에 회전시킴으로써 이루어진다. 기판 블레이드 조립체(540)의 각 블레이드(520, 522)는 충분히 길어, 통로(132) 및 기판(502)의 중심을 통해 페데스탈(628)에 걸쳐 연장된다(도 8 참조). 일단 한 쌍의 리프트 핀 조립체에 의해 기판이 블레이드로부터 들어올려지면, 블레이드가 수축되고 통로(132) 및 액추에이터는 상술한 바와 같이 슬릿 밸브에 의해 폐쇄된다.
스트리핑 챔버
도 7은 본 발명의 예시적인 기판 스트리핑 챔버의 단면도이다. 보다 구체적으로 도 7은 증착된 필름의 산화성 가스 스트립 및 비반응성 가스 어닐링 모두가 가능한 급속 열 프로세싱 챔버이다. 기판 스트리핑 챔버 또는 급속 열어닐링(RTA) 챔버(118)는 이송 챔버(108)에 연결되는 것이 바람직하다. 고압 증착 모듈(101)은 도 2 및 도 3에 나타난 바와 같이 2개의 RTA 챔버(118)를 포함하는 것이 바람직하며, 챔버(118)는 캡핑 모듈(120)로부터 이송 챔버(108)의 반대편에 위치하는 것이 바람직하고, 기판은 기판 취급기(112)에 의해 RTA 챔버(118)로부터 또는 챔버 내로 이송되는 것이 바람직하다.
열 어닐링 프로세스 챔버는 보통 업계에 공지되어 있으며, 급속 열 어닐링 챔버는 일반적으로 기판 처리 시스템에 사용되어 증착되는 재료의 성질을 변화시킨다. 본 발명에 따르면, 어닐링 챔버(118)는 반응성 가스의 존재 하에 고온 어닐링에 의해 또는 노출된 필름의 산화에 의해 계면활성제를 제거하기 위해 계면활성제 스트립으로서 작용한다. 본 발명에 유용한 어닐링 챔버의 한 예로서는 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼즈에서 구할 수 있는 WxZ 챔버가 있다. 비록 본 발명은 고온 플레이트 급속 열 어닐링 챔버를 사용하여 설명되지만, 본 발명의 프로세스를 수행하는데 적합한 다른 열 어닐링 챔버에도 적용될 수 있을 것으로 예상된다.
RTA 챔버(118)는 보통 인클로져(902), 히터판(904), 히터(907), 측벽(910) 및 상부(912)를 포함한다. 냉각판(913)이 인클로져의 상부(912) 아래 배치되는 것이 바람직하다. 이와 달리, 냉각판은 인클로져의 상부(912)와 일체형으로 형성될 수도 있다. 반사체 절연체 접시(914)가 인클로져(902) 내 베이스(908) 위에 배치되는 것이 바람직하다. 반사체 절연체 접시(914)는 석영, 알루미나, 또는 다른 고온(즉 약 500℃보다 높은 온도)을 견딜 수 있는 재료로 만들어지고, 히터(907)와인클로져(902) 사이의 절연체로서 작용하는 것이 바람직하다. 디쉬(914) 역시 금과 같은 반사 물질로 코팅되어, 열을 히터판(906)으로 향하게 하는 것이 바람직하다.
히터판(904)은 시스템 내에서 처리되는 기판에 비해 질량이 크고 실리콘카바이드, 석영 또는 RTA 챔버(118) 내의 임의의 가스 또는 기판 물질과 반응하지 않는 다른 재료로 제조되는 것이 바람직하다. 히터(907)는 저항 가열요소 또는 도전성/복사성 열원을 포함하고 가열판(906) 및 반사체 절연체 디쉬(914) 사이에 배치되는 것이 바람직하다. 히터(907)는 전원(916)에 연결되며, 전원은 히터(907)를 가열하는데 필요한 에너지를 공급한다. 열전쌍(920)은 베이스(908) 및 디쉬(914)를 통해 배치되며 히터판(904) 내로 연장되는 도관(922) 내에 배치되는 것이 바람직하다. 열전쌍(920)은 제어기(921) 내로 연결되어 제어기(921)에 대해 온도 측정을 제공한다. 컨트롤러(921)는 이후 온도 측정 및 원하는 어닐링 온도에 따라 히터(907)에 공급되는 열을 증가 또는 감소시킨다.
인클로저(902)는 냉각부재(918)를 포함하는 것이 바람직하다. 냉각 부재(918)는 인클로져(902)의 바깥쪽에 측벽(910)과 열적으로 접하여 배치되어, 인클로저(902)를 냉각한다. 이와 달리, 하나 이상의 냉각 채널(미도시)이 측벽(910) 내에 형성되어 인클로져(902)의 온도를 제어한다. 상부(912)의 내면에 배치된 냉각판(913)은 냉각판(913)에 인접한 기판을 냉각한다.
RTA 챔버(118)는 인클로져(902)의 측벽(910)에 배치된 슬릿 밸브(922)를 포함하여, 기판이 RTA 챔버로부터 또는 챔버 내로 용이하게 이송되도록 한다. 슬릿밸브(922)는, 이송 챔버(108)와 연통되는 인클로저 측벽(924) 위의 개구(924)를 선택적으로 실링한다. 기판 취급기(112)는 개구(924)를 통해 기판을 RTA 챔버 내로 그리고 챔버로부터 밖으로 이송한다.
기판 지지핀(906)은 석영, 산화알루미늄 또는 다른 내열성 물질로 구성되는 말단이 경사진 부재를 포함하는 것이 바람직하다. 각 기판 지지핀(906)은, 내열 및 내산화성 물질로 만들어져 히터판(904)을 통해 연장되는 관형 도관(926) 내에 배치되는 것이 바람직하다. 기판 지지핀(906)은 리프트판(928)에 연결되어 기판 지지핀(906)을 균일한 방식으로 이동시킨다. 리프트판(928)은, 리프트 축(932)을 통해 스텝퍼 모터와 같은 액추에이터(930)에 부착되며, 리프트 축은 리프트판(928)을 이동시켜 RTA 챔버 내에서 기판을 다양한 수직 위치에 놓이기가 용이하게 한다. 리프트 축(932)은 인클로저(902)의 베이스(908)를 통해 연장되며 축 둘레에 배치되는 실링 플랜지(934)에 의해 실링된다.
기판을 RTA 챔버(118)로 이송시키기 위해, 슬릿 밸브(922)가 개방되며, 장착 스테이션 기판 취급기(228)는 기판이 놓인 그 기판 취급기 블레이드를 개구(924)를 통해 RTA 챔버 내로 연장시킨다. 장착 스테이션 이송 기판 취급기(228)의 기판 취급기 블레이드는 기판을 RTA 챔버 내에서 가열기판(904) 위에 놓으며, 기판 지지핀(906)은 위로 연장되어 기판을 기판 취급기 블레이드 위로 들어올린다. 기판 취급기 블레이드는 이후 RTA 챔버로부터 후퇴되고, 슬릿 밸브(922)는 개구를 닫는다. 기판 지지핀(906)은 이후 후퇴되어 기판을 가열기판(904)으로부터 원하는 거리만큼 떨어진 위치로 낮춘다. 선택적으로 기판 지지핀(906)은 완전히 후퇴되어기판을 가열기판과 완전히 접하게 할 수 있다.
바람직하게는 가스 유입구(936)는 인클로저(910)의 측벽(910)을 통해 배치되어, 어닐링 처리 프로세스를 하는 동안 선택된 가스 유동이 RTA 챔버(118) 내로 향하게 한다. 가스 유입구(936)는 밸브(940)를 통해 가스원(938)에 연결되어 가스가 RTA 챔버(118) 내로 유동하는 것을 제어한다. 가스원(938)은 고온 어닐링을 위한 비반응성 가스를 제공하거나 또는 바람직하게는 오존 플라즈마인 산화성 가스를 어닐링 챔버(118)에 공급하여 노출된 기판 필름을 산화시키는 원격 유닛일 수 있다. 가스 유출구(942)는 인클로져(902)의 측벽(910)의 하부에 배치되어 RTA 챔버 내의 가스를 배기시키며 바람직하게는 릴리프/체크 밸브(relief/check valve; 944)에 연결되어 챔버 바깥으로부터 대개의 역류(backstreaming)를 방지한다. 선택적으로 가스 유출구(942)는 진공 펌프(미도시)에 연결되어, 어닐링 처리하는 동안 RTA 챔버를 원하는 진공레벨로 배기시킨다.
본 발명에 따라 기판은 산화물 필름이 증착된 뒤 RTA 챔버(118) 내에서 어닐링된다. 고온 비반응성 가스 어닐링에 대해, RTA 챔버(118)는 약 대기압에 유지되고, RTA 챔버(118) 내의 산소 함량은 어닐링 처리를 하는 동안 약 100ppm보다 낮게 유지되는 것이 바람직하다. RTA 챔버(118) 내의 주위 환경은 N2또는 N2와 약 4%보다 낮은 H2의 조합을 포함하며, RTA 챔버(118) 내로의 배기가스 유동은 20liter/분보다 높게 유지되어 산소 함량을 100ppm보다 낮게 제어한다. 기판은 약 200℃ 내지 약 450℃ 사이에서 약 30초 내지 30분 동안 어닐링되며, 보다 바람직하게는 약400℃ 내지 450℃에서 약 30초 내지 5분 동안 어닐링된다. 급속 열 어닐링 프로세싱은 보통 초당 적어도 50℃의 온도 증가가 필요하다. 어닐링 처리를 하는 동안 기판에 대해 원하는 온도 증가 속도를 제공하기 위해, 히터판은 약 350℃ 내지 450℃ 사이에서 유지되는 것이 바람직하며, 기판은 어닐링 처리가 지속되는 동안 히터판으로부터 약 0mm(즉 히터판과 접함) 내지 약 20mm 사이에 위치하는 것이 바람직하다.
기판을 산화 스트립하는 동안, RTA 챔버(118)는 약 1 내지 10Torr의 압력으로 유지되는 것이 좋으며, 산화 가스는 고온의 산소 또는 오존 또는 산소 함유 플라즈마로 구성되는 것이 바람직하다. 산화는 산소에 민감하지 않거나 산소와 반응하지 않는 물질을 포함하는 표면에서 이루어지는 것이 바람직하다. RTA 챔버(118)로의 산소 유동은 예를 들어 20liter/분 보다 높은 유속에 유지되어, 기판 위에 노출된 필름의 완전한 산소 스트립을 제공하는 것이 바람직하다. 산소 스트립 공정 동안, 기판은 약 200℃ 내지 450℃ 사이에서 약 30초 내지 30분 동안 가열되는 것이 바람직하며, 보다 바람직하게는 약 350℃ 내지 400℃ 사이에서 약 30초 내지 5분 동안 가열되는 것이 바람직하다. 산화성 가스는, 가스를 처리하여 원격 플라즈마 발생기 또는 원격 마이크로파 발생기(미도시)로부터 산소종을 제공하기 위해 가스를 처리할 수도 있는 산소원으로부터 수용된다.
스트리핑 프로세스가 완결된 뒤, 기판 지지핀(906)은 기판을 RTA 챔버(118)로부터 이송하기 위한 위치로 들어올린다. 슬릿 밸브(922)는 개방되고, 이송 챔버(108)의 기판 취급기(112)는 RTA 챔버로 연장되어 기판 아래에 위치한다. 기판 지지핀(906)은 기판을 기판 취급기 블레이드 위로 낮추기 위해 후퇴하고, 기판 취급기 블레이드는 RTA 챔버로부터 후퇴된다.
프로세스 챔버
도 8은 탠덤 프로세싱 챔버(130)의 한 실시예를 나타낸다. 챔버 본체(602)는 이송 챔버(126)에 장착되거나 다른 방식으로 연결되며 각 기판이 동시에 처리되는 2개의 처리영역을 포함한다. 챔버 본체(602)는 힌지식으로 부착되는 리드(604)를 지지하며, 반응물과 세정가스를 다중 프로세싱 영역으로 공급하기 위해 배치되는 하나 이상의 가스 분배 시스템(608)을 포함한다.
도 9는 2개의 프로세싱 영역(618, 620)을 규정하는 챔버(126)의 개략적인 단면도이다. 챔버 본체(602)는 측벽(612), 내벽(614) 및 바닥벽(616)을 포함하며, 이들은 2개의 프로세싱 영역(618, 620)을 규정한다. 프로세싱 영역(618, 620)의 바닥벽(616)은 적어도 2개의 통로(622, 624)를 규정하며, 이 통로를 통해 페데스탈 히터(628)의 스템(626) 및 기판 지지핀 조립체의 로드(630)가 각각 배치된다. 페데스탈 리프트 조립체 및 기판 리프트는 이하 자세히 설명된다.
측벽(612) 및 내부벽(614)은 2개의 실린더형 환상 처리영역(618, 620)을 규정한다. 둘레의 펌핑 채널(625)이 챔버 벽에 형성되어, 실린더형 프로세싱 영역(618, 620)을 형성하여 기체를 프로세싱 영역(618, 620)으로부터 배기시키고 각 영역(618, 620) 내의 압력을 제어한다. 세라믹 또는 그와 유사한 재료로 만들어지는 것이 바람직한 챔버 라이너 또는 인서트(627)는 프로세싱 영역(618, 620)내에 배치되어 프로세싱 영역의 측방 경계를 규정하여, 챔버 벽(612, 614)을 부식성 환경으로부터 보호하고, 전극 사이에 전기적으로 고립된 플라즈마 환경을 유지한다. 라이너(627)는 각 프로세싱 영역(618, 620)의 벽(612, 614) 내에 형성된 레지(629) 위에서 챔버 내에 지지된다. 라이너는 다수의 배기포트(631) 또는 그 안에 배치되며 챔버 벽에 형성된 펌핑 채널(625)과 연통되는 둘레 방향 슬롯을 포함한다. 각 라이너(627)에는 약 24개의 포트(631)가 배치되는 것이 바람직하며, 포트는 약 15˚ 떨어져 배치되고 프로세싱 영역(618, 620)의 주변부 둘레에 위치한다. 24개이 포트가 바람직하지만, 원하는 펌핑속도 및 균일성을 달성하기 위해 임의의 수의 포트가 채용될 수 있다. 포트의 수에 더해, 가스 분배 시스템의 페이스판에 대한 포트의 높이가 제어되어, 프로세싱이 이루어지는 동안 기판에 걸친 최적의 가스 유동 패턴을 제공한다.
도 11은 본 발명의 배기 시스템을 나타내는 챔버의 단면도이다. 각 프로세싱 영역(618, 620)의 펌핑 채널(625)은 공통 배기 채널(619)을 통해서 공통 배기 펌프에 연결되는 것이 바람직하다. 배기 채널(619)은 배기 도관(621)을 통해 각 영역의 펌핑 채널(625)에 연결된다. 각 영역은 펌프에 의해 선택된 압력으로 펌핑되며 연결된 배기 시스템은 각 영역 내 압력이 균등하게 한다. 펌프는 진동이 매우 적으며 밀리토르의 압력을 제공할 수 있는 고진공 터보 펌프인 것이 바람직하다. 사용에 유리한 진공원의 하나로서 Edward High Vacuum사로부터 구할 수 있는 것이 있다.
도 9에서, 프로세싱 영역(618, 620)의 각 영역도 가스 분배 조립체(608)를포함하는 것이 바람직한데, 조립체는 챔버 리드(604)를 통해 배치되어 가스를, 바람직하게는 동일한 가스원으로부터 프로세싱 영역(618, 620)으로 공급한다. 각 처리영역의 가스 분배 시스템(608)은 가스 유입구 통로(640)를 포함하며, 이는 가스를 샤워헤드 조립체(642)로 공급한다. 샤워헤드 조립체(642)는 환상 베이스판(648)으로 구성되며, 베이스판은 페이스판(646)의 중간에 배치되는 블로커판(644)을 가진다. RF 피드스루는 샤워헤드 조립체에 바이어스 전위를 제공하여 샤워헤드 조립체의 페이스판(646)과 히터 페데스탈(628) 사이에서의 플라즈마 형성을 용이하게 한다. 냉각 채널(652)은 각 가스 분배 시스템(608)의 베이스판(648)에 형성되어 작동시 기판을 냉각시킨다. 유입구(655)는 물 등과 같은 냉각 유체를 냉각제 라인(657)에 의해 서로 연결된 채널(652) 내로 공급한다. 냉각 유체는 냉각제 유출구(659)를 통해 채널을 떠난다. 또는 냉각 유체는 다기관을 통해 순환된다.
챔버 본체(602)는 선택된 프로세스에 적합한 각 반응 가스 및 세정 가스가 가스 분배 시스템을 통해 챔버에 공급되게 하기 위한 다수의 수직 통로를 규정한다. 가스 유입구 커넥션(641)은 챔버(126)의 바닥에 배치되어 챔버 벽에 형성된 가스 통로를 가스 입구 라인(639)에 연결한다. 챔버 벽의 상단면에서 챔버 벽을 통해 형성된 각 가스 통로 둘레에는 오링이 설치되어, 도 11에 나타난 바와 같이 리드와의 실링 연결을 제공한다. 리드는 도 10에 나타난 바와 같이 챔버 벽의 하부로부터 챔버 리드의 상부에 위치한 가스 유입구 다기관(670)으로 가스를 공급하기 위한 매칭 통로를 포함한다. 반응 가스는 전압 구배 피드스루(672)를 통해, 가스 분배 조립체에 연결된 가스 출구 다기관(674) 내로 공급된다.
가스 입력 다기관(670) 채널은 챔버 가스 피드스루로부터, 접지된 일정 전압 구배 가스 피드스루 내로 프로세스 가스를 흐르게 한다. 가스 피드 튜브(미도시)는 프로세스 가스를 전압 구배 가스 피드스루(672)를 통해 유출구 다기관(674) 내로 공급하거나 우회시킨다. 저항 슬리브는 가스 피드 튜브를 둘러싸, 피드스루를 통한 선형 전압 강하를 유발시켜, 챔버 내의 플라즈마가 가스 피드 튜브를 위로 올리는 것을 방지한다. 가스 피드 튜브는 석영으로 이루어지는 것이 바람직하며 슬리브는 복합 세라믹으로 만들어지는 것이 바람직하다. 가스 공급 튜브는 고립 블록 내에 배치되며, 이 블록은 냉각제 채널을 포함하여 온도를 제어하고 열 복사를 방지하며 또 프로세스 가스의 액화를 방지한다. 절연 블록은 DelrinTM아세트 수지(acetal resin)로 만들어지는 것이 바람직하다. 석영 피드 튜브는 가스를 가스 출력 다기관(674) 내로 공급하며, 다기관은 프로세스 가스를 블로커판(644)으로 그리고 가스 분배판(646) 내로 공급한다.
가스 입력 다기관(670)(도 10 참조)도 챔버 가스 피드스루로부터 원격 플라즈마원(미도시)으로 세정가스를 공급하는 통로를 규정한다. 이들 가스는 전압 구배 피드스루를 우회하며 원격 플라즈마원으로 공급되고, 여기서 가스는 다양한 여기 종으로 활성화된다. 여기 종(excited species)은 이후 가스 유입구 통로(640) 내에 배치된 도관을 통해 블로커판 바로 아래의 가스 분배판으로 공급된다.
가스를 각 프로세싱 영역의 가스 분배 시스템 내로 제공하는 가스 라인(639)은 단일 가스원 라인에 연결되는 것이 바람직하며, 따라서 가스의 각 프로세싱 영역(618, 620)으로의 공급을 위해 공유되거나 제어된다. 프로세스 가스를 다중 구역 챔버로 공급하는 가스 라인(들)은 t자형 커플링에 의해 다수의 프로세스 영역을 공급하기 위해 분할된다. 각 프로세스 영역을 공급하는 각 라인으로의 유동을 용이하게 하기 위해, 소결된 니켈 필터와 같은 필터가 분할기(splitter)로부터 상류의 가스 라인에 배치된다. 필터는 가스가 별도의 가스 공급 라인 내로 균일하게 분배 및 유동되는 것을 향상시킨다.
가스 분배 시스템은 베이스판(648)을 포함하며, 베이스판의 하단에는 블로커판(644)이 인접하여 배치된다. 페이스판(646)은 블로커판(644)의 아래에 배치되어 가스를 프로세싱 영역(618, 620) 내로 공급한다. 한 실시예에서, 베이스판(648)은 가스 통로를 규정하며, 이 통로를 통해 프로세스 가스를 블로커판(644) 바로 위의 영역으로 공급한다. 블로커판(644)은 프로세스 가스를 그 상부면에 걸쳐 분산시키며 가스를 페이스면(646) 위로 공급한다. 블로커판(644)의 구멍은 프로세스 가스의 혼합 및 페이스판(646)에 걸친 분배를 향상시키는 크기로 될 수 있다. 페이스판(646)으로 공급되는 가스는 이후 프로세싱 영역(618, 620) 내로, 처리를 위해 위치된 기판 전체에 걸쳐 균일하게 공급된다.
가스 피드 튜브(미도시)는 가스 통로 내에 위치되며 한쪽 단부에서는 원격 플라즈마원으로부터의 출력 라인에 연결되어 있다. 가스 피드 튜브의 한 단부는 가스 유출구 다기관을 통해 연장되어 가스를 원격 플라즈마원으로부터 공급한다. 가스 피드 튜브의 다른 단부는 블로커판(644)을 통해 배치되어 가스를블로커판(644)을 너머 페이스판(646) 바로 위의 영역으로 공급한다. 페이스판(646)은 가스 피드 튜브를 통해 공급된 가스를 분산시키고 이후 프로세싱 영역으로 공급한다.
이상은 바람직한 가스 분배 시스템이지만, 원격 플라즈마원으로부터의 가스 역시 챔버 벽을 통해 제공된 포트(미도시)를 통해 프로세싱 영역(618, 620) 내로 도입될 수 있다. 게다가 프로세스 가스는 예를 들어 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼즈로부터 현재 구입할 수 있는 어떤 가스 분배 시스템을 통해서도 공급될 수 있다.
히터 페데스탈
도 9는 스템(626)에 의해 각 프로세싱 영역(618, 620) 내에 이동 가능하게 배치되는 히터 페데스탈(628)을 나타내는데, 스템(626)은 지지판의 아래쪽에 연결되며 챔버 본체(602)의 바닥을 통해 연장되며, 여기서 구동 시스템(603)에 연결된다. 스템(626)은 원형, 관형의 알루미늄 부재로서, 상단은 히터 페데스탈(628)의 하부면과 지지식의 접촉을 하도록 배치되어 있으며 하단은 커버 판으로 폐쇄되어 있다. 스템의 하단은 컵 모양의 슬리브 내에 수용되어 있으며, 이는 스템을 구동 시스템에 연결한다. 스템(626)은 히터 페데스탈(628)을 처리영역 내에 기계적으로 위치시키며 또 복수의 히터판 커넥션이 연장될 수 있는 대기로의 통로(ambient passageway)를 형성한다. 각 히터 페데스탈(628)은 가열요소를 포함하여 그 위에 위치한 기판을 원하는 온도로 가열할 수 있다. 가열요소는 예를 들어 저항 가열요소를 포함할 수 있다. 이와 달리 히터 페데스탈은 램프와 같은 외부 가열요소를 사용하여 가열될 수 있다. 본 발명에 사용되는 페데스탈은 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스에서 구할 수 있다. 페데스탈은 처리하는 동안 기판을 고정할 수 있는 정전척, 진공척 또는 다른 척킹 장치를 포함할 수도 있다.
히터 페데스탈(628)은, 선형 전기 액추에이터(미도시)를 가지는 구동 시스템(603)에 의해 이송 하우징을 프로세스, 세정, 리프트 및 해제 위치로 움직임으로써 상승 또는 하강될 수 있다. 이송 하우징은 한쪽은 액추에이터에 연결되고, 다른 쪽은 캐리지판(미도시)에 의해 선형 슬라이드(미도시)에 연결된다. 액추에이터와 캐리지 사이의 연결은 탄성(볼 및 소켓) 조인트(미도시)에 의해 이루어져, 임의의 오정렬을 방지한다. 선형 슬라이드 및 캐리지판은 서로에 대해 편향되어 있어 회전 및 굽힘을 방지한다. 벨로즈가 히터 페데스탈(628)의 스템(626)을 둘러싸며, 한 단부에서는 챔버 바닥(616)에 연결되며 다른 쪽에서는 이송 하우징에 연결된다. 실링 링(미도시)이 스템(626) 내의 홈(630)에 제공되어 슬리브(624) 내 스템의 하단의 바깥쪽 표면을 밀봉한다. 페이스판(646)에 대한 히터 페데스탈(628)의 레벨링은 스크류에 의해 이루어진다.
또는 구동 시스템(603)은 모터와 챔버 아래에 매달리고, 공형 커플링(conformable coupling) 및 리드 스크류 조립체로 연결되는 구동 벨트에 연결되어 감소 기어 조립체(미도시)를 포함한다. 이송 하우징은 리드 스크류 조립체에 수용되며, 이는 위 아래로 안내되고 선형 슬라이드에 의해 회전에 대해 유지된다. 히터 리프트 기구는 드라이브 칼라(collar)에 의해 챔버(130)에 대해 유지된다. 히터 페데스탈(628)은 스테퍼 모터에 의해 구동되는 리드 스크류에 의해 상승 및 하강된다. 스테퍼 모터는 모터 브래킷에 의해 히터 리프트 조립체에 장착된다. 스테퍼 모터는 벨로즈 내의 리드 스크류를 구동한다. 벨로즈는 리드 스크류를 회전시켜 히터 조립체를 프로세스, 리프트 및 해제 위치로 상승 및 하강시킨다. 실링 링이 스템(626) 내에 제공되어 슬리브 내에서 스템(626) 하단부의 외측면을 실링한다.
기판을 위치 선정 조립체(substrate positioning assembly)
도 8 및 9에서, 스템(626)은 챔버 내에서 상하로 움직여 히터 페데스탈(628)을 이동시켜, 그 위의 기판의 위치를 정하거나 기판을 처리를 위해 그로부터 제거한다. 기판 위치 선정 조립체는 히터 페데스탈(628)에 대해 수직으로 이동하는 다수의 지지핀(651)을 포함하며, 페데스탈을 통해 수직으로 배치되는 구멍(653) 내에 위치된다. 각 핀(651)은 하부 구형부(661)에서 종료되는 실린더형 축(659)과, 축의 외측 연장으로서 형성되는 상부 절두 원추형 헤드(663)를 포함한다. 히터 페데스탈(628) 내의 구멍(653)은 원추형 헤드(663)를 수용하는 크기로 된 카운터싱크부를 포함하여, 핀(651)이 히터 페데스탈(628) 내에 완전히 수용될 때 헤드가 히터 페데스탈의 표면 위로 연장되지 않게 한다.
리프트 핀(651)은, 히터 페데스탈(628)이 프로세싱 영역 내에서 이동됨에 따라 부분적으로는 히터 페데스탈(628)과 함께, 부분적으로는 독립적으로 움직인다. 리프트 핀은 페데스탈(628) 위로 연장되어 기판 취급기 블레이드가 기판을 프로세싱 영역으로부터 제거할 수 있으나, 또한 페데스탈 내로 내려앉아 기판을 페데스탈의 상부면에 처리를 위해 위치시켜야 한다. 핀(651)을 제거하기 위해, 기판 위치 선정 조립체는 환상의 핀 지지체(655)를 포함한다. 이 지지체는 리프트 핀(651)의 하부 구형부(661) 및 드라이브 부재와 결합되도록 구성되며, 구동 부재는 프로세싱 영역 내에서 히터 페데스탈(628)의 위치에 따라 핀 지지체(655)를 리프트 핀(651)과 선택적으로 결합하게 한다. 바람직하게는 세라믹으로 만들어지는 핀 지지체(655)는, 히터 페데스탈(628) 아래의 스템(626) 둘레로 연장되어 지지핀의 하부 구형부와 선택적으로 결합한다.
구동 조립체는 축(630)을 승강시키고 핀 지지체(655)를 연결하여 핀(651)을 각 프로세싱 영역(618, 620) 내에서 위 아래로 이동시킨다. 핀 구동 부재는 챔버(130)의 바닥에 위치되어, 페데스탈 히터(628)에 대한 핀 지지 플랫폼(655)의 움직임을 제어한다.
가스 박스 및 서플라이
도 2 및 3에서, 시스템 후단부 위의 챔버 바깥쪽에는, 증착 및 세정시 사용되는 가스를 함유하는 가스 서플라이 패널(219)이 있다. 사용되는 특정한 가스는 기판 위에 증착될 재료 또는 챔버(130)로부터 제거될 재료에 따라 다르다. 프로세스 가스는 유입구 포트를 통해 가스 다기관으로 그리고 샤워헤드형 가스 분배 조립체를 통해 챔버 내로 향한다. 전자적으로 작동되는 밸브 및 유동 제어 기구는 가스 서플라이로부터 챔버 내로의 가스 유동을 제어한다.
본 발명의 한 실시예에서, 선구체 가스는 가스 박스(219)로부터 챔버(130)로 공급되며, 여기서 가스 라인은 상술한 바와 같이 챔버 본체를 통해 가스를 공급하는 2개의 분리된 가스라인으로 T자형으로 분기된다. 프로세스에 따라, 이러한 방식으로 임의의 종류의 가스가 공급되고 챔버의 바닥으로 공급되기 전에 또는 가스 분배판으로 들어간 뒤에 혼합될 수 있다.
파워 서플라이
도 2 및 3에서, 각 프로세싱 영역(618, 620)에 대해 개선된 컴팩트 RF(CRF) 파워 전달 시스템(136)이 사용되며, 한 시스템은 각 가스 분배 시스템(219)에 연결된다. ENI사에서 제조된 Genesis Series의 13.56MHz RF 발생기가 각 챔버에 대해 시스템의 후단에 장착된다. 이 고주파 발생기는 고정된 매치와 사용되도록 설계된 것이며 하중으로 전달되는 전력을 조절하여, 전향 파워 및 반사 파워에 대한 우려를 제거한다. 고주파 RF 발생기 및 저주파 RF 발생기를 프로세스 챔버에 연결시키기 위해, 저역필터가 고정된 매치 인클로져 내에 설계된다.
ENI에서 제조되는 350kHz RF 발생기는 시스템 후단의 RF 발생기 랙에 위치되며, 동축 케이블에 의해 고정 RF 매치에 연결된다. 저주파 RF 발생기는 저주파 발생과 고정 매치 요소를 하나의 컴팩트 인클로져 내에 제공한다. 저주파 RF 발생기는 부하에 전달되는 전력을 조절하여 전향 파워 및 반사 파워에 대한 우려를 감소시킨다.
프로그래밍
도 2 및 도 3에 나타난 시스템 제어기(138)는 컴퓨터의 하드디스크 드라이브에 저장된 컴퓨터 프로그램의 제어 하에 작동된다. 컴퓨터 프로그램은 프로세스 순서, 가스 혼합, 챔버 압력, RF 전력 레벨, 서셉터의 위치결정, 슬릿 밸브 개방 및 폐쇄, 기판 가열 및 특정 프로세스의 다른 변수들을 지배한다. 사용자와 시스템 제어기 사이의 인터페이스는 CRT 모니터 및 라이트펜(미도시)에 의해 이루어지는 것이 바람직하다. 바람직한 실시예에서는 2개의 모니터가 사용되는데, 하나는 작업자를 위해 클린룸 벽에 설치되며 다른 하나는 서비스 기술자를 위해 벽 뒤에 설치된다. 양 모니터는 동시에 같은 정보를 표시하지만 라이트펜은 하나만 사용된다. 라이트펜은 CRT 표시장치에 의해 방사된 빛을 펜 선단의 광센서에 의해 검출한다. 특정 스크린 또는 기능을 선택하기 위해, 작업자는 디스플레이 스크린의 특정 영역에 대고 펜 위의 단추를 누른다. 디스플레이 스크린은 보통 그 모습 즉 색을 바꾸거나 새로운 메뉴를 나타냄으로써 즉 일반적으로 라이트펜과 접촉된 영역과의 통신을 확인해준다.
예를 들어 시스템 제어기(138)에서 작동하는 컴퓨터 프로그램 제품을 사용하여 다양한 프로세스가 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리어, C, C++ 또는 파스칼과 같이 컴퓨터 판독 가능한 임의의 종래 프로그래밍 언어일 수 있다. 적절한 프로그램 코드는, 컴퓨터의 메모리 시스템과 같이 컴퓨터로 사용할 수 있는 매체에 저장 또는 내장된 종래의 텍스트 편집기를 사용하여 하나의 파일 또는 다수의 파일 내로 들어간다. 입력된 코드 텍스트가 고차원 언어이면, 코드는 컴파일되고, 결과로서 나오는 컴파일러 코드는 미리 컴파일된 라이브러리 루틴의 목적 코드와 연결된다. 연결된 컴파일된 목적 코드를 실행하기 위해, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템이 코드를 메모리에 로딩하게 하고, 이로부터 CPU는 코드를 읽고 실행하여 프로그램에 의해 식별되는 임무를 수행한다.
도 12는 컴퓨터 프로그램(1410)의 바람직한 계층적 제어 구조의 블록도를 예시적으로 나타낸다. 사용자는 라이트펜을 사용하여, CRT 모니터에 표시된 스크린이나 메뉴에 대한 응답으로서 프로세스 세트 번호와 프로세스 챔버 번호를 프로세스 실렉터 서브루틴(1420)에 입력한다. 프로세스 세트는 미리 정해진 프로세스 변수 세트를 제공하여 특정 프로세스를 수행하며, 미리 설정된 세트 번호로 식별된다. 프로세스 실렉터 서브루틴(1420)은 (i) 원하는 프로세스 번호 및 (ii) 원하는 프로세스를 수행하기 위해 프로세스 챔버를 작동하는데 필요한 프로세스 변수 세트를 식별한다. 특정 프로세스를 수행하기 위한 프로세스 변수는 예를 들어 프로세스 가스 조성 및 유속, 온도, 압력, RF 바이어스 전력 레벨 및 자기장 파워 레벨 같은 플라즈마 조건, 냉각가스 압력 및 챔버 벽 온도와 같은 반응 조건에 관련된 것이며, 이들은 레시피(recipe)의 형태로 사용자에게 제공된다. 레시피에 구체화된 변수는 임의의 종래 방식으로 입력될 수 있으나, 라이트펜/CRT 모니터 인터페이스를 이용하는 것이 가장 바람직하다.
프로세스를 제어하기 위해 다양한 장치와 장비에 의해 제공되는 전자 신호는 시스템 제어기의 아날로그 입력 및 디지털 입력 보드를 통해 컴퓨터에 제공된다.프로세싱 챔버를 모니터링하는데는 폴링(polling)과 같은 임의의 종래방법이 사용될 수 있다. 게다가 다양한 프로세스 제어기 또는 장치를 작동시키기 위한 전자 신호는 시스템 제어기의 아날로그 출력 및 디지털 출력 보드를 통해 출력된다. 이들 모니터링 및 제어 장치의 수, 형태 및 설치는 시스템의 특정한 사용 또는 요구되는 프로세스 제어의 정도에 따라 시스템마다 다를 수 있다. 예를 들어 특정 응용에 최적인 열전쌍의 형태와 같이 특정한 장치의 구체화 또는 선택은 당업자에게 잘 알려져 있다.
프로세스 시퀀서 서브루틴(1430)은 식별된 프로세스 챔버 수 및 프로세스 실렉터 서브루틴(1420)으로부터의 프로세스 변수 세트를 수용하고 다양한 프로세스 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자가 프로세스 세트 번호와 프로세스 챔버 번호를 입력하거나, 한 명의 사용자가 다수의 프로세스 챔버 번호를 입력하여, 시퀀서 서브루틴(1430)이 작동하여 선택된 프로세스를 원하는 순서로 작동시킨다. 바람직하게는 프로세스 시퀀서 서브루틴(1430)은 (i) 챔버가 사용되고 있는지 확인하기 위해 챔버의 작동을 확인하고 (ii) 사용되는 챔버에서 어떤 프로세스가 수행되고 있는지 확인하고 (iii) 사용 가능한 프로세스 챔버와 수행될 프로세스의 형태에 기초하여 원하는 프로세스를 수행하는 단계를 실행하기 위한 프로그램 코드를 포함한다. 어느 프로세스가 수행될 것인지 계획할 때, 시퀀서 서브루틴(1430)은 사용되고 있는 프로세스 챔버의 현재 조건을, 선택된 프로세스에 요구되는 프로세스 조건, 사용자가 입력한 특정한 요구의 "단계(age)" 또는 시스템 프로그래머가 우선으로 둘 내용을 결정하는데 포함하기 원하는 다른 관련된 요소와 비교하여 고려한다.
시퀀서 서브루틴(1430)은 일단 어떤 프로세스 챔버 및 프로세스 세트 조합이 다음으로 실행될 것인지 결정하면, 특정 프로세스 변수를, 시퀀서 서브루틴(1430)에 의해 결정된 프로세스 세트에 따라 프로세스 챔버(130) 내의 여러 프로세싱 임무를 제어하는 챔버 매니저 서브루틴(1440a-c)으로 보냄으로써 프로세스 세트를 실행한다. 예를 들어, 챔버 매니저 서브루틴(1440a)은 챔버(130) 내에서 스퍼터링과 CVD 프로세스 작업을 제어하기 위한 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(1440)은 또, 선택된 프로세스 세트를 수행하는데 필요한 챔버 구성요소를 제어하는 다양한 챔버 구성요소 서브루틴을 포함한다. 챔버 구성요소 서브루틴의 예로는 기판 위치 선정 서브루틴(1450), 프로세스 가스 제어 서브루틴(1460), 압력 제어 서브루틴(1470), 히터 제어 서브루틴(1480) 및 플라즈마 제어 서브루틴(1490) 등이 있다. 당업자라면 프로세스 챔버(130) 내에서 어떤 프로세스가 수행되는지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있음을 알 것이다. 작동시 챔버 매니저 서브루틴(1440a)은 실행되는 특정 프로세스 세트에 따라 프로세스 구성요소 서브루틴을 선택적으로 계획한다. 챔버 매니저 서브루틴(1440a)은, 시퀀서 서브루틴(1430)이 어느 프로세스 챔버(130) 및 프로세스 세트가 다음으로 실행될 것 결정하는 것과 유사하게 프로세스 구성요소 서브루틴을 계획한다. 보통 챔버 매니저 서브루틴(1440a)은 다양한 챔버 구성요소를 모니터링하여, 실행될 프로세스 세트에 대한 프로세스 변수에 기초하여 어떤 구성요소가 작동되어야 할지 결정함으로써, 모니터링 및 결정 단계에 반응하여 챔버 구성요소 서브루틴의 실행을 유발시킨다.
이러한 특정 챔버 구성요소 서브루틴은 도 12를 참조하여 이하 설명된다. 기판 위치선정 서브루틴(1450)은 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함하며, 이는 기판을 페데스탈(628) 위에 장착하고, 선택적으로는 기판을 챔버(130) 내에서의 원하는 위치로 들어올려 기판과 샤워헤드(642) 사이의 거리를 제어하는데 사용된다. 기판이 챔버(130) 내에 장착될 때, 페데스탈(628)은 하강되며 리프트 핀 조립체는 상승되어 기판을 수용하며, 그후 페데스탈(628)은 챔버 내에서 원하는 위치로 상승되어 예를 들어 CVD 프로세스 동안 기판을 가스 분배 다기관으로부터 제 1 거리에 유지한다. 작동시 기판 위치선정 서브루틴(1450)은 챔버 매니저 서브루틴(1440a)으로부터 이송된 지지체 높이에 관련된 프로세스 세트 변수에 응답하여 리프트 조립체 및 페데스탈(628)의 움직임을 제어한다.
프로세스 가스 제어 서브루틴(1460)은 프로세스 가스의 조성과 유동속도를 제어하기 위한 프로그램 코드를 가진다. 프로세스 가스 제어 서브루틴(1460)은 안전 셧오프밸브의 개방/폐쇄 위치를 제어하며, 또한 질량 유동 제어기를 단계적으로 증가/감소시켜 원하는 유속을 얻는다. 프로세스 가스 제어 서브루틴(1460)은 다른 모든 구성요소 서브루틴과 마찬가지로 챔버 매니저 서브루틴(1440a)에 의해 호출되며, 원하는 가스 유속과 관련된 챔버 매니저 서브루틴 프로세스 변수로부터 수용된다. 보통 프로세스 가스 제어 서브루틴 제어 서브루틴(1460)은 가스원과 챔버(130) 가스 서플라이 라인 사이의 하나의 제어 밸브를 개방시킴으로써 작동되며, 반복적으로 (i) 질량 유량을 측정하며, (ii) 실제 유동속도를 챔버 매니저 서브루틴(1440a)으로부터 받은 원하는 유동속도와 비교하고, (iii) 주 가스 공급 라인의 원하는 유속을 필요에 따라 조정한다. 더욱이 프로세스 가스 제어 서브루틴(1460)은 불안정한 유속을 검출하고 불안정한 상태가 검출되면 가스 유동속도를 모니터링하고 안전 셧오프밸브를 활성화시키는 단계를 포함한다.
어떤 프로세스에서는 아르곤과 같은 불활성가스가 챔버(130) 내로 제공되어, 반응성 프로세스 가스가 챔버 내로 도입되기 전에 압력을 안정화시킨다. 이들 프로세스에 대해, 프로세스 가스 제어 서브루틴(1460)은, 챔버 내의 압력을 안정시키기 위해 필요한 시간 동안 불활성가스를 챔버(130) 내로 유동시키기 위한 단계를 포함하고, 상술한 단계가 수행되도록 프로그램된다. 추가적으로 프로세스 가스가 예를 들어 TEOS인 액체 선구체로부터 기화될 때, 프로세스 제어 서브루틴(1460)은 헬륨과 같은 공급 가스를 버블러 조립체 내의 액체 선구체를 통해 버블링하기 위한 단계를 포함하도록 기록될 것이다. 이러한 형태의 프로세스에 대해, 프로세스 가스 제어 서브루틴(1460)은 공급 가스의 속도, 버블러 내의 온도 및 버블러 온도를 조절하여, 원하는 프로세스 가스 유동 속도를 얻는다. 상술한 바와 같이, 원하는 프로세스 가스 유속은 프로세스 변수로서 프로세스 가스 제어 서브루틴(1460)에 전달된다. 더욱이 프로세스 가스 제어 서브루틴(1460)은, 주어진 프로세스 가스 유속에 대해 필요한 값을 포함하는 데이터 표가 저장된 원하는 공급가스 유속을 위해 필요한 공급가스 유속, 버블러 압력, 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요한 값이 얻어지면, 저장 가스 유동속도, 버블러 압력 및 버블러 온도가 모니터링되고, 필요한 값과 비교되어 조정된다.
압력 제어 서브루틴(1470)은 챔버의 배기 시스템 내의 스로틀 밸브의 개방 크기를 조절함으로서 챔버(130) 내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브가 개방되는 크기는, 전체 프로세스 가스 유동, 요구되는 챔버의 가스 함유 부피 및 배기 시스템을 위한 펌핑 세트 포인트와의 관계에서 챔버 압력을 원하는 레벨로 유지하기 위해 변화된다. 압력 제어 서브루틴(1470)이 호출될 때, 원하는 세트 포인트 압력 레벨이 챔버 매니저 서브루틴(1440a)으로부터 변수로서 수신된다. 압력 제어 서브루틴(1470)은 챔버에 연결된 하나 이상의 종래의 압력계를 사용하여 챔버(130) 내의 압력을 측정하고, 측정된 값을 세트 포인트 압력과 비교하고, 세트 포인트 압력에 대응되는 저장된 압력 테이블로부터 PID(비례, 적분 및 미분(proportional, integral and differential)) 제어 변수를 얻고, 압력표에서 얻어진 PID 값에 따라 스로틀 밸브를 조정하도록 작동한다. 이와 달리, 압력 제어 서브루틴(1470)은 스로틀 밸브를 특정한 개방 사이즈로 열거나 닫도록 기록되어, 챔버(130)를 원하는 압력으로 유지할 수 있다.
히터 제어 서브루틴(1480)은 기판을 가열하는데 사용되는 램프 또는 히터 모듈의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(1480) 역시 챔버 매니저 서브루틴(1440a)에 의해 호출되고 원하는 온도 변수 또는 세트 포인트 온도변수를 수신한다. 히터 제어 서브루틴(1480)은 페데스탈(628)에 위치한 열전쌍의 출력 전압을 측정함으로써 온도를 결정하고, 측정된 온도를 세트 포인트 온도와 비교하며, 세트 포인트 온도를 얻기 위해 히터에 인가되는 전류를 증가 또는 감소시킨다. 저장된 변환 테이블 내의 대응되는 온도를 조사함으로써 측정된전압으로부터 온도를 구하거나, 4차 다항식을 사용하여 온도를 구할 수 있다. 페데스탈(628)의 가열에 복사 램프가 사용될 때, 히터 제어 서브루틴(1480)은 램프에 인가되는 전류의 증감을 서서히 제어한다. 점진적인 증가에 의해 램프의 수명과 신뢰도가 증가된다. 게다가 내장된 안전모드가 유도되어 프로세스 안전에 따를 수 있고, 프로세스 챔버가 적절히 설정되어 있지 않으면 램프 또는 히터 모듈의 작동을 멈출 수 있다.
플라즈마 제어 서브루틴(1490)은, 챔버(130) 내의 프로세스 전극에 인가되는 RF 바이어스 전압 전력 레벨을 설정하고, 선택적으로는 챔버 내에 발생되는 자기장의 레벨을 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 구성요소 서브루틴과 유사하게, 플라즈마 제어 서브루틴(1490)은 챔버 매니저 서브루틴(1440a)에 의해 호출된다.
상술한 본 발명의 시스템은 PECVD에의 적용에 대한 것이지만, 본 발명은 에칭 챔버뿐만 아니라 고밀도(HDP) CVD 및 PVD 챔버에도 적용될 수 있다. 예를 들어 본 발명의 시스템은 플라즈마 프로세스를 위한 탠덤 HDP CVD 챔버를 포함할 수 있다. 다른 한 실시예에서, 가스 분배/리드 조립체는 유전체 돔으로 대체될 수 있으며, 이 돔은 돔 둘레에 배치되는 유도 코일과 코일에 연결되는 RF 파워 서플라이를 가져 챔버 내에서 고밀도 플라즈마의 유도 결합이 가능하게 한다. 유사하게 탠덤 PVD 챔버는 증착 재료원을 위해 배치되는 타겟 조립체를 가지도록 구성될 수 있다. DC 파워 서플라이는 타겟 조립체에 연결되어 스퍼터링 전력을 제공한다.
중간 다공성 산화물 필름
이하의 설명은 중간 다공성 산화물 필름을 증착하기 위한 유전체 증착 모듈 및 이산화실리콘, 질화실리콘, 질산화실리콘 및 비정질 탄화실리콘 및 BLOkTM필름을 증착하기 위한 캡핑 모듈의 사용에 적용되는 한편, 유전체 증착 모듈 및 캡핑 모듈 내에서 수행되는 프로세스에 사용될 수 있는 다른 물질의 증착에도 사용될 수 있다.
도 13은 기판 위에 중간 다공성 산화물 유전체를 형성하기 위한 프로세스를 나타낸다. 이 프로세스는 기판 위에 계면활성제를 함유하는 졸 겔 선구체 용액을 증착하는 단계, 증착된 졸 겔을 경화시켜 산화물 필름을 형성하는 단계, 및 이 필름을 오존 플라즈마와 같은 산화성 분위기에 노출시켜 계면활성제를 제거하고 중간 다공성 유전체 필름을 형성하는 단계를 포함한다. 다양한 효과를 얻기 위해 몇몇 프로세스 단계에서는 재료가 대체될 수 있으며, 시간, 온도, 압력 및 재료의 상대적인 농도와 같은 프로세싱 변수는 넓은 영역에서 변화될 수 있다. 어느 경우에나, 유사한 다공성 유전체층을 형성하는 다른 방법이 상술한 방법을 대체할 수 있다.
본 프로세스는 고압 증착 모듈에서 졸 겔 선구체를 형성함으로써 시작된다. 졸 겔 선구체는 보통 유기 용매 내의 계면활성제, 실리콘/산소 화합물 및 물을 혼합함으로써 형성된다. 졸 겔 선구체를 형성하는데에는 당업계에 공지된 임의의 방법이 사용될 수 있으나, 본 발명의 예시적인 졸 겔 선구체는 TEOS, 에탄올, 물 및계면활성제의 혼합에 의해 형성될 수 있다. 졸 겔 선구체의 형성에는 선택적인 산 또는 염기 촉매가 추가로 사용될 수 있다.
이후 졸 겔 선구체는 스핀온 코팅 또는 스프레이 코팅 중 어느 하나에 의해 기판 위에 도포되나, 스핀온 코팅을 사용하는 것이 좋다. 스핀온 코팅되는 동안, 원심 배수에 의해 필름은 얇은 졸 겔 선구체 층으로서 실질적으로 기판을 덮는다. 이후 기판 위의 졸 겔 선구체는 경화 프로세스를 거쳐 졸 겔로부터 물 및 용제를 제거하여 지름이 균일하고 바람직하게는 입방 상 구조의 필름인 상호연결 미세구멍을 형성한다. 다음 필름은 산화성 분위기에 노출되며, 여기서 계면활성제가 필름으로부터 제거되어 중간 다공성의 유전체 필름으로 변환된다.
졸 겔 선구체의 실리콘/산소 화합물은 반도체 제조에서 종래 실리콘 함유층의 증착에 사용되는 것으로서, 실리카 졸이 사용되는 것이 가장 좋다. 계면활성제는 양이온이거나, 음이온이거나 또는 이온이 아닐 수도 있다. 계면활성제는 친수성인 결합 그룹(bonding groups)을 사용하여, 용제를 함유하는 물에 완전히 분산되도록 한다. 이온이 아닌 계면활성제는 하전되지 않은 중성의 화학적 결합 그룹을 가지며, 반면 양이온 및 음이온 계면활성제는 각각 음 및 양으로 하전된 결합 그룹을 가진다. 본 발명에 따른 지름이 균일하고 입방 상 구조인 것이 바람직한 상호연결 미세구멍에 대해, 비이온성 계면활성제가 사용되고, 이는 주로 아민, 폴리옥시에틸렌 산화물-프로필렌 산화물-폴리에틸렌 산화물 트리블록 코폴리머, 옥타에틸렌 글리콜 모노데실 에테르, 옥타에틸렌 글리콜 모노헥사디실 에테르 및 이들의 조합으로 이루어지는 그룹에서 선택되는 것이 바람직하다.
유기 용제가 용액에 사용되어 졸 겔 내의 실리콘/산소 화합물 분산을 도와, 스피너 챔버 내에서 기판 위의 스프레이나 졸 겔 증착을 용이하게 한다. 본 발명은 유기 용제, 바람직하게는 에탄올, n-프로판올, 이소-프로판올, n-부탄올, 세크-부탄올, 테르트-부탄올, 에틸렌 글리콜 또는 이들의 조합으로 이루어지는 그룹에서 선택되는 알콜을 사용한다. 증착된 졸 겔 용제 내의 유기 용제는 보통 경화 프로세스에 의해 제거되며, 경화 프로세스는 50℃ 내지 450℃ 사이에서 하나 이상의 단계를 포함할 수 있다. 경화는 경화/베이킹 챔버 내에서 약 1분 내지 약 10분 정도 수행되는 것이 바람직하다.
경화 단계에서, 유기 용제의 우선적인 기화 및 필름 내의 수분 제거에 의해 비휘발성 계면활성제 및 실리카와 같은 실리콘/산소 화합물의 농도가 증가된다. 계면활성제 농도가 증가됨에 따라, 계면활성제 및 실리콘/산소 화합물은 얇은 필름 내에 분자 군집을 형성한다. 계속적인 건조에 의해 필름이 응고되며, 필름의 미세구조에 구멍을 형성하여, 도 13에 나타난 것과 같이 본 발명에서의 지름이 균일한 입방 상 구조의 상호연결 미세구멍의 필름이 된다.
증착된 필름은 고온에서 산화성 분위기에 노출된다. 산화성 분위기의 온도는 약 200℃ 내지 약 400℃의 범위인 것이 바람직하다. 산화성 환경은 산소, 오존 또는 산소 플라즈마를 포함하여 반응성 산소종을 포함하는 것이 좋으며, 챔버 내에서 오존 플라즈마가 형성되는 것이 가장 바람직하다. 플라즈마는 약 0.5torr 내지 약 10torr 사이의 압력에서 수행되는 것이 바람직하다. 산소종은 필름을 가격하며 계면활성제, 임의의 잔류 수분 및 용제와 반응하여, 이들을 필름으로부터 제거한다. 이온종은 반응성이 높으며 계면활성제의 제거를 위해서는 약 0.5분 내지 약 5분의 짧은 노출 시간만이 소요된다. 필름으로부터 계면활성제가 제거됨에 따라, 미세구멍이 군집의 실리콘/산소 성분이 산화물 필름의 형태, 바람직하게는 입방 상 구조로서 형성되며, 경화되어 중간 다공성 필름을 형성한다. 미세구멍은 보통 상호연결된 구조를 가지나, 다수는 말단 가지(terminal branch)를 가지거나 비정질층을 형성한다. 중간 다공성 필름의 선택적인 형성에 의해, 유전상수가 2.5보다 낮고 바람직하게는 2.2 내지 1.6이며, 공기가 50%를 넘는 다공성이 높은 필름이 형성된다.
이와 달리, 다공성 산화물 필름은 약 400℃ 내지 약 450℃의 고온 어닐링에 의해 계면활성제를 제거함으로써 형성될 수 있다. 어닐링 프로세스는 진공 부근 내지 대기압 정도의 압력에서 수행될 수 있다. 어닐링 단계는 증착 모듈의 압력과 유사한 압력에서 즉 약 300torr보다 높은 압력에서 이루어지는 것이 바람직하다. 어닐링 프로세스는 약 300torr 내지 약 700torr 사이에서 일어나는 것이 가장 바람직하다. 그러나 어닐링 단계는 약 10torr 또는 그보다 낮은 압력에서 산화 플라즈마 프로세스와 유사한 진공 부근 압력에서 수행되는 것이 바람직하다. 필름은 비반응성 분위기에서 어닐링되며, 여기서 비반응성 가스는 질소, 아르곤이나 헬륨과 같은 불활성가스 또는 이들의 조합인 것이 좋다. 산화물 필름은 선구체 화합물이 메틸 또는 페닐트리에틸옥시 실란 및 메틸트리옥시 실란과 같은 페닐 그룹을 포함할 때 어닐링되는 것이 바람직하다. 메틸 또는 페닐기를 함유하는 선구체 화합물로부터 증착된 필름의 어닐링은 산화 및 메틸 및 페닐 화합물의 산화 및 제거를 방지한다. 메틸 및 페닐 그룹이 유지됨에 따라, 필름은 탄소 함량이 높아지며, 이는 유전상수를 더 낮게 하는 것으로 믿어진다. 이와 같이 어닐링 단계는 공기가 50%보다 많으며, 유전상수가 2.5보다 낮고 바람직하게는 2.2 내지 1.6인 다공성이 높은 필름을 제조한다.
중간 다공성 산화물 필름은 친수성이 높고 수분 오염에 민감하며, 이때 (유전상수가 78보다 큰) 수분에 의한 오염은 필름 전체의 유전상수에 해로운 영향을 미칠 수 있다. 그러므로 필름은 보통 실레이팅(silylating) 및/또는 캡핑층에 의한 캡핑에 의해 사후 처리된다.
실리레이션은 실리콘을 증착된 필름의 상부면에 도입하는 프로세스이다. 화학반응에서, 반응성 유기실란의 액체 상 또는 기상 확산이 반응 챔버 내에서 일어나, 필름의 상부면에 위치하는 히드록실 그룹의 수소가 유기실리콘 그룹 대개의 경우 트리메틸 실릴 그룹으로 대체되게 한다. 이러한 화학반응의 예로서 기판 위의 유전체 위에 헥사메틸디실라잔(HMDS)을 도입하여 실릴 에테르를 형성하는 반응이 있다. 실레이션 프로세스는 실레이팅 에이전트를 약 25℃ 내지 약 200℃ 사이의 온도에서 확산시킴으로써 수행되며, 이는 노출된 중간 다공성 산화물 필름이 소수성으로 영향받게 한다. 본 발명에서 바람직한 실리레이팅 에이전트는 테트라메틸 디실라잔(TMDS), 헥사메틸 디실라잔(HMDS) 및 디메틸아미노트리메틸 실란 또는 이들의 조합이다.
중간 다공성 산화물층에 증착되는 캡핑층은, 에칭 스톱 또는 하드 마스크로서 기능하는 수분과 같은 물질의 확산에 대한 장애가 될 수 있는 임의의 물질이면된다. 바람직하게는 캡핑층은 PECVD 챔버에 의해 약 0.5 내지 10Torr에서 증착되는 유전상수가 낮은 필름이다. 적절한 재료의 예에는 이산화실리콘, 질화실리콘, 질산화실리콘 및 비정질 탄화실리콘이 있다. 라이너층으로 사용되는 물질의 예에는 비정질 탄화실리콘층, BLOkTM이 있으며, 이는 1998년 10월 1일 제출된 미국 특허출원 번호 09/165,248호의 "A Silicon Carbide Deposition For Use as a Barrier Layer and an Etch Stop"에 기재되어 있다.
이중 다마신 구조의 증착
비정질 탄화규소 에칭 스톱이 구비된 중간 다공성 산화물층을 포함하는 이중 다마신 구조가 도 14에 도시되어 있다. 중간 다공성 산화물(408)은 상술한 바와 같이 패턴화된 도전성 라인(404)을 가지는 기판(402) 위에 증착되며, 이에는 질화실리콘 또는 비정질 탄화실리콘 또는 바람직하게는 BLOkTM인 기판 에칭 스톱(406)이 증착된다. 이후 제 1 에칭 스톱(410)이 중간 다공성 산화물(408) 위에 증착되는데, 이 제 1 에칭 스톱(410)은 BLOkTM인 것이 바람직하다. 제 1 에칭 스톱(410)은 이후 에칭에 의해 패턴화되어 컨택트/비아(415)의 개구를 규정한다. 중간 다공성 산화물층일 수 있는 제 2 유전체층(414)은 이후 패턴이 형성된 제 1 에칭 스톱(410)에 걸쳐 증착되고, 이후 바람직하게는 BLOkTM인 제 2 에칭 스톱(416)이 종래의 방법에 의해 패턴 에칭되어 인터커넥트 라인(417)을 정한다. 다음으로 단일에칭 프로세스가 수행되어 인터커넥트를 패턴화된 라인(404) 아래로 규정하며, 패턴화된 에칭 스톱에 의해 노출되어 보호되지 않은 유전체층을 에칭하여 컨택트/비아(415)를 규정한다. 일단 에칭되면, 라이너 층(420) 및 이후의 도전성 금속(422)이 증착되어 인터커넥트(417)를 충진한다. 이후 인터커넥트는 평면화되고 질화실리콘 또는 BLOkTM층(424)으로 캡핑된다.
본 발명에 따라 제조되는 바람직한 이중 다마신 구조가 도 14에 나타나 있으며, 이 구조를 만드는 방법의 순서가 도 15a-15h에 개략적으로 나타나 있는데, 이는 본 발명의 단계가 형성되는 기판의 단면도이다. 도 15a에 나타난 바와 같이, 초기의 산화물 또는 중간 다공성 산화물 유전체층(408)은, 제조될 구조의 크기에 따라 약 5,000 내지 10,000Å의 두께로 기판(402)에 균일하게 배치되는 비정질 탄화실리콘 BLOkTM기판 에칭 스톱(406) 위에 증착된다. 도 15a에 나타난 바와 같이, 바람직하게는 BLOkTM인 저유전상수 에칭 스톱(410)은 이후 캡핑 모듈 내에서 제 1 유전체층(408) 위에 약 200 내지 1000Å의 두께로 증착된다. 이후 당업계에 공지된 종래의 방법에 의해 개구(413)가 형성된 포토레지스트층(412)이 에칭 스톱(410) 위에 형성된다. 저유전상수 에칭 스톱(410) 및 유전체층(408)은 이후 도 15b에 나타난 바와 같이 패턴 에칭되어 컨택트/비아 개구(415)를 규정하여 제 1 유전체층(410) 및 기판 에칭 스톱(406)을 컨택트/비아가 형성된 영역에 노출시킨다. 바람직하게는 저유전상수 에칭 스톱(410)은 불소, 탄소 및 산소 이온을 사용하는 종래의 포토리소그래피 및 에칭 프로세싱을 사용하여 패턴 에칭된다.
저유전상수 에칭 스톱(410)은 에칭되어 컨택트/비아의 패턴을 형성하며 포토레지스트는 도 15b에 나타난 바와 같이 제거되며, 제 2 중간 다공성 산화물 유전체층(414)은 도 12c에 나타난 바와 같이 약 5,000 내지 약 10,000Å의 두께로 에칭 스톱(410) 위에 증착된다. BLOkTM인 것이 바람직하며 도 15c에 나타난 것과 같은 캡핑 모듈 내에 증착되는 제 2 에칭 스톱(416) 및 포토레지스트층(418)은, 패턴화되어 인터커넥트 라인(417)을 형성하기 전에 제 2 중간 다공성 산화물 유전체층(414) 위에, 바람직하게는 트렌치 리소그래피와 같은 종래의 리소그래피 공정을 사용하여 도 15d에 나타나 바와 같이 증착된다. 인터커넥트 및 컨택트/비아는 이후 반응성 이온 에칭 또는 다른 이방성(anisotropic) 에칭 기술을 사용하여 에칭되어, 도 15e에 나타난 바와 같이 금속배선구조(즉 인터커넥트 및 컨택트/비아)를 규정한다. 제 2 에칭 스톱(416) 또는 제 2 유전체층(414)을 패턴화하기 위한 임의의 포토레지스트는 산소 스트립, 불활성 어닐링 또는 다른 적절한 프로세스를 사용하여 제거된다. 기판 에칭 스톱(406)도 유사하게 스트립되어, 도 15f에 나타난 바와 같이 패턴화된 라인(404) 및 임의의 물질 증착 사이에 컨택트를 제공한다.
이후 알루미늄, 구리, 텅스텐 또는 이들의 조합에 의해 금속배선 구조가 형성된다. 현재의 경향은 유사한 구조를 형성하기 위해 구리를 사용하는데, 이는 구리의 저항이 낮기 때문이다(알루미늄의 3.1mW-cm와 비교할 때 1.7mW-cm). 도 15g에 나타난 바와 같이 탄탈 질화탄탈 또는 질화텅스텐 그러나 바람직하게는 질화탄탈인 적절한 배리어층(420)이 금속배선 패턴을 따라 먼저 형성되어, 구리가 둘레의 실리콘 및/또는 유전체 물질 내로 이동하는 것을 방지한다. 이후 구리(422)는 CVD, PVD, 일렉트로플레이팅 또는 이들의 조합을 사용하여 도전성 구조를 형성한다. 구리 또는 도퍼 구리(doper copper)인 것이 바람직한 시드층(미도시)은 구리 충진(422)의 증착 전에 증착되어, 인터커넥트(417)를 보이드 없이 충진할 수 있다. 일단 구조가 구리 또는 다른 재료로 충전되면, 표면은 화학기계적 연마를 사용하여 평면화되며, 도 15h에 나타난 바와 같이 비정질 탄화실리콘 BLOkTM층 또는 질화실리콘으로 캡핑된다.
이상 설명한 바와 같은 본 발명의 시스템에 의하면, 높은 기판 수율로 저유전상수의 재료를 증착 및 캡핑할 수 있는 통합된 대기 및 진공 시스템이 제공되어, 진공 클러스터 툴과 대기 클러스터 툴 사이의 1회 이상의 이송을 제거함으로써, 증착된 물질의 오염을 이상적으로 감소시킬 수 있다.
이상은 본 발명의 바람직한 실시예에 대한 것이지만 본 발명의 기본 개념을 벗어나지 않는 범위에서, 본 발명의 다른 실시예가 가능하며, 그 범위는 이하의 청구범위에 의해 정해진다.

Claims (61)

  1. (a) 하나 이상의 이송 챔버;
    (b) 상기 하나 이상의 이송 챔버 각각에 배치되는 기판 취급 부재;
    (c) 각각 적어도 하나의 이송 챔버와 연결되는 적어도 하나의 격리된 프로세싱 영역을 각각 규정하는 하나 이상의 프로세싱 챔버;
    (d) 상기 하나 이상의 이송 챔버와 연통되는 하나 이상의 로드록 챔버; 및
    (e) 상기 하나 이상의 이송 챔버와 연통되는 하나 이상의 다중 슬롯 기판 예열 모듈을 포함하는 기판 프로세싱 장치.
  2. 제 1항에 있어서, 상기 로드록 챔버 내에 배치되는 하나 이상의 다중 슬롯 냉각 스테이션을 추가로 포함하는 장치.
  3. 제 1항에 있어서, 상기 로드록 챔버와 유체 연통되는 진공 펌프를 추가로 포함하는 장치.
  4. 제 1항에 있어서, 상기 하나 이상의 프로세스 챔버 내의 각 프로세싱 영역과 유체 연통되는 진공 펌프를 추가로 포함하는 장치.
  5. 제 1항에 있어서, 각 프로세싱 챔버가 2개의 격리된 프로세싱 영역을 포함하는 장치.
  6. 제 1항에 있어서, 상기 각 프로세싱 영역은, 그 안에 가스 분배 조립체를 포함하며 상기 가스 분배 조립체는 하나 이상의 가스원으로부터 프로세스 가스를 공유하는 장치.
  7. 제 1항에 있어서, 각 프로세싱 영역에 연결된 RF 발생기를 가지는 원격 플라즈마 시스템을 추가로 포함하는 장치.
  8. 제 1항에 있어서, 원격 플라즈마 시스템이 각 프로세싱 영역과 유체 연통되는 장치.
  9. 제 2항에 있어서, 하나 이상의 로드록 챔버와 유체 연통되는 고압 증착 모듈을 추가로 포함하는 장치.
  10. 제 9항에 있어서, 상기 고압 증착 모듈은, 하나 이상의 기판 스트리핑 부재를 포함하는 스핀온 유전체 모듈인 장치.
  11. 제 1항에 있어서, 상기 하나 이상의 다중 슬롯 예열 모듈이 로드록 챔버 내에 배치되는 장치.
  12. (a) 고압 증착 모듈;
    (b) 상기 고압 증착 모듈과 연통되는 제 1 이송 챔버;
    (c) 상기 제 1 이송 챔버와 연통되는 로드록 챔버;
    (d) 상기 로드록 챔버와 연통되는 제 2 이송 챔버;
    (e) 상기 제 1 이송 챔버와 연통되는 다중 슬롯 기판 예열 모듈;
    (f) 상기 제 2 이송 챔버 내에 배치되는 기판 취급 부재; 및
    (g) 상기 제 2 이송 챔버에 각각 연결되는 적어도 하나의 격리된 프로세싱 영역을 각각 규정하는 하나 이상의 프로세싱 챔버를 포함하는 기판 프로세싱 장치.
  13. 제 12항에 있어서, 상기 고압 증착 모듈이,
    (a) 하나 이상의 기판 스피너 챔버;
    (b) 하나 이상의 기판 경화 챔버;
    (c) 하나 이상의 기판 스트리핑 챔버;
    (d) 하나 이상의 실리레이션 증착 챔버; 및
    (e) 상기 고압 증착 모듈 내에 배치되는 제 2 기판 취급 부재를 포함하는 장치.
  14. 제 12항에 있어서, 상기 각 로드로 챔버 내에 배치되는 하나 이상의 다중 슬롯 냉각 스테이션을 추가로 포함하는 장치.
  15. 제 12항에 있어서, 하나 이상의 로드록 챔버와 유체 연통되는 진공 펌프를 포함하는 장치.
  16. 제 12항에 있어서, 각 프로세싱 영역과 유체 연통되는 진공 펌프를 포함하는 장치.
  17. 제 12항에 있어서, 상기 프로세싱 챔버가 2개의 고립된 프로세싱 영역을 포함하는 장치.
  18. 제 12항에 있어서, 상기 각 프로세싱 영역은, 그 안에 가스 분배 조립체를 포함하며 상기 가스 분배 조립체는 하나 이상의 가스원으로부터 프로세스 가스를 공유하는 장치.
  19. 제 12항에 있어서, 각 프로세싱 영역에 연결된 RF 발생기를 가지는 원격 플라즈마 시스템을 추가로 포함하는 장치.
  20. 제 19항에 있어서, 각 기판 스트리핑 챔버가 산화 챔버인 장치.
  21. 제 20항에 있어서, 상기 산화 챔버가, RF 발생기 또는 마이크로파 발생기를가지는 원격 플라즈마 시스템에 연결되는 장치.
  22. 제 12항에 있어서, 상기 다중 슬롯 예열 모듈이 로드록 챔버 내에 배치되는 장치.
  23. 중간 다공성 필름을 기판 위에 증착하기 위한 프로세스로서,
    (a) 실리콘/산소 화합물, 유기용제, 물 및 계면활성제를 포함하는 졸 겔 선구체를 형성하는 단계;
    (b) 상기 졸 겔 선구체를 기판 위에 증착하는 단계;
    (c) 상기 증착된 졸 겔 선구체를 경화하여 산화물 필름을 형성하는 단계; 및
    (d) 상기 필름을 산화성 환경에 노출시켜 중간 다공성 필름을 형성하는 단계를 포함하는 방법.
  24. 제 23항에 있어서, 상기 중간 다공성 필름은 지름이 균일하고 상호연결된 미세구멍의 구조를 포함하는 프로세스.
  25. 제 24항에 있어서, 상기 중간 다공성 산화물 필름이 입방 상 구조를 추가로 포함하는 프로세스.
  26. 제 23항에 있어서, 상기 실리콘/산소 화합물 선구체가 테트라에틸오소이실레이트, 테트라메톡시 실란, 페닐트리에틸옥시 실란, 메틸트리에톡시 실란 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
  27. 제 23항에 있어서, 상기 유기 용제는 에탄올, 이소프로판올, n-프로판올, n-부탄올, 세크-부탄올, t-부탄올, 에틸렌 글리콜 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
  28. 제 23항에 있어서, 상기 계면활성제는 폴리옥시에틸렌 산화물-프로필렌 산화물-폴리에틸렌 산화물 트리블록 공중합체, 옥타에틸렌 글리콜 모노데실 에테르, 옥타에틸렌 글리콜 모노헥사데실 에테르 및 이들의 조합으로 이루어지는 그룹에서 선택되는 프로세스.
  29. 제 23항에 있어서, 졸 겔 선구체의 증착 이전에 산 또는 염기 촉매를 졸 겔 선구체에 추가하는 단계를 더욱 포함하는 프로세스.
  30. 제 23항에 있어서, 상기 산화성 분위기가, 반응성 산소종을 포함하는 플라즈마인 프로세스.
  31. 제 30항에 있어서, 상기 반응성 산소종이 오존인 프로세스.
  32. 제 23항에 있어서, 상기 산화성 환경이 약 200℃ 내지 약 400℃ 사이에서 유지되는 프로세스.
  33. 제 23항에 있어서, 상기 산화물 필름이 산화성 분위기에 약 30 내지 300초 동안 노출되는 프로세스.
  34. 제 23항에 있어서, 상기 중간 다공성 산화물 필름이 약 1.6 내지 약 2.2의 유전상수를 나타내는 프로세스.
  35. 제 23항에 있어서, 상기 중간 다공성 필름이 적어도 약 50%의 다공성을 가지는 프로세스.
  36. 제 23항에 있어서, 상기 중간 다공성 필름이 약 50℃ 내지 약 450℃ 사이에서 경화되는 프로세스.
  37. 제 34항에 있어서, 상기 중간 다공성 산화물 필름이 약 1 분 내지 약 10분 사이에서 경화되는 프로세스.
  38. 제 23항에 있어서, 상기 중간 다공성 필름을 추가로 실리레이팅하여 소수성으로 바꾸는 단계를 추가로 포함하는 프로세스.
  39. 제 38항에 있어서, 상기 중간 다공성 산화물 필름을 실리레이팅하는 단계는, 테트라메틸 디실라잔(TMDS), 헥사메틸 디실라잔(HMDS), 디메틸아미노트리메틸 실란 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 실리레이팅 에이전트에 의해 수행되는 프로세스.
  40. 제 39항에 있어서, 상기 실리레이션 프로세스는 약 25℃ 내지 200℃ 사이에서 수행되는 프로세스.
  41. 제 40항에 있어서, 상기 중간 다공성 산화물 필름 위에 캡핑층을 증착하는 단계를 추가로 포함하는 프로세스.
  42. 제 41항에 있어서, 상기 캡핑층이, 질화실리콘, 이산화실리콘, 질산화실리콘, 비정질 탄화실리콘 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
  43. 기판 위에 중간 다공성 산화물 필름을 형성하기 위한 프로세스로서,
    (a) 기판을 챔버 내로 도입하는 단계;
    (b) 상기 기판 위에 실리콘/산소 화합물, 유기 용제, 물 및 계면활성제를 포함하는 졸 겔 선구체를 증착시켜 산화물 필름을 형성하는 단계; 및
    (c) 상기 필름을 불활성 분위기에서 약 200℃ 내지 약 450℃의 온도로 가열함으로써 상기 산화물 필름으로부터 유기용제, 물 및 계면활성제를 제거하여, 중간 다공성 산화물 필름을 형성하는 단계를 포함하는 프로세스.
  44. 제 43항에 있어서, 상기 중간 다공성 산화물 필름이, 지름이 균일한 상호연결된 미세구멍을 포함하는 프로세스.
  45. 제 44항에 있어서, 상기 중간 다공성 필름이 입방 상 구조를 추가로 포함하는 프로세스.
  46. 제 43항에 있어서, 상기 실리콘/산소 화합물 선구체가, 테트라에틸오소오실레이트, 테트라메톡시 실란, 페닐트리에틸옥시 실란, 메틸트리에톡시 실란 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
  47. 제 43항에 있어서, 상기 유기 용제는 에탄올, 이소프로판올, n-프로판올, n-부탄올, 세크-부탄올, t-부탄올, 에틸렌 글리콜 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
  48. 제 43항에 있어서, 상기 계면활성제가, 폴리옥시에틸렌 산화물-프로필렌 산화물-폴리에틸렌 산화물 트리블록 공중합체, 옥타에틸렌 글리콜 모노데실 에테르,옥타에틸렌 글리콜 모노헥사데실 에테르 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 비이온 계면활성제인 프로세스.
  49. 제 43항에 있어서, 졸 겔 선구체의 증착 이전에 산 또는 염기 촉매를 졸 겔 선구체에 추가하는 단계를 더욱 포함하는 프로세스.
  50. 제 43항에 있어서, 상기 불활성 분위기는, 질소, 헬륨, 아르곤 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 비반응성 가스를 포함하는 방법.
  51. 제 43항에 있어서, 산화물 필름을 약 400℃ 내지 약 450℃ 사이의 온도에서 어닐링함으로써 상기 중간 다공성 산화물 필름이 형성되는 프로세스.
  52. 제 43항에 있어서, 상기 중간 다공성 필름이 약 30 내지 300초 동안 어닐링되는 프로세스.
  53. 제 43항에 있어서, 상기 중간 다공성 필름이 약 1.6 내지 약 2.2 사이의 유전상수를 나타내는 프로세스.
  54. 제 43항에 있어서, 상기 분위기가, 반응성 산소종의 산화성 환경을 포함하는 프로세스.
  55. 제 54항에 있어서, 상기 산화성 분위기가 약 200℃ 내지 약 400℃ 사이에서 유지되는 프로세스.
  56. 제 55항에 있어서, 상기 산화물 필름이 약 30 내지 300초 동안 산화성 분위기에 노출되는 프로세스.
  57. 제 43항에 있어서, 상기 중간 다공성 필름을 실리레이팅하여 필름을 소수성으로 만드는 단계를 추가로 포함하는 프로세스.
  58. 제 43항에 있어서, 상기 실리레이션 단계는, 테트라메틸 디실라잔(TMDS), 헥사메틸 디실라잔(HMDS), 디메틸아미노트리메틸 실란 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 실리레이팅 에이전트에 의해 수행되는 프로세스.
  59. 제 43항에 있어서, 상기 실리레이션 프로세스가 약 25℃ 내지 200℃ 사이에서 수행되는 프로세스.
  60. 제 43항에 있어서, 상기 중간 다공성 산화물 필름 위에 캡핑층을 증착하는 단계를 추가로 포함하는 프로세스.
  61. 제 60항에 있어서, 상기 캡핑층이, 질화실리콘, 이산화실리콘, 질산화실리콘, 비정질 탄화실리콘 및 이들의 조합으로 이루어지는 그룹으로부터 선택되는 프로세스.
KR1020010006465A 2000-02-10 2001-02-09 Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스 KR20010082111A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50212600A 2000-02-10 2000-02-10
US09/502,126 2000-02-10

Publications (1)

Publication Number Publication Date
KR20010082111A true KR20010082111A (ko) 2001-08-29

Family

ID=23996454

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010006465A KR20010082111A (ko) 2000-02-10 2001-02-09 Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스

Country Status (5)

Country Link
US (1) US20040020601A1 (ko)
EP (1) EP1124252A2 (ko)
JP (1) JP2002033314A (ko)
KR (1) KR20010082111A (ko)
TW (1) TW490765B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
KR100738700B1 (ko) * 2002-09-30 2007-07-12 동경 엘렉트론 주식회사 반송 장치
KR100839653B1 (ko) * 2006-05-17 2008-06-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 클러스터 처리 장치
WO2011136604A2 (ko) * 2010-04-30 2011-11-03 주식회사 테라세미콘 기판 처리 장치
WO2012030032A1 (ko) * 2010-08-31 2012-03-08 주식회사 테라세미콘 배치식 기판 처리 장치
KR20140000687A (ko) * 2010-10-05 2014-01-03 어플라이드 머티어리얼스, 인코포레이티드 오존 경화 및 경화후 수분 처리 모듈
KR101354571B1 (ko) * 2007-07-12 2014-01-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템
US11482453B2 (en) 2019-06-14 2022-10-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
WO2002058128A1 (fr) * 2001-01-19 2002-07-25 Tokyo Electron Limited Procede et dispositif pour le traitement d'un substrat
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
JP2003017483A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017484A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017485A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017487A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
WO2003003440A1 (en) * 2001-06-29 2003-01-09 Rohm Co., Ltd. Semiconductor device and production method therefor
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4391240B2 (ja) * 2002-03-04 2009-12-24 ローム株式会社 薄膜形成方法および半導体装置
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
KR100765681B1 (ko) 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4601403B2 (ja) * 2004-11-25 2010-12-22 パナソニック株式会社 半導体レーザ素子の製造方法及びその製造装置
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US7194199B2 (en) * 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
TWI342058B (en) * 2005-12-20 2011-05-11 Applied Materials Inc Extended mainframe designs for semiconductor device manufacturing equipment
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
WO2007087831A1 (en) * 2006-02-03 2007-08-09 Freescale Semiconductor, Inc. 'universal' barrier cmp slurry for use with low dielectric constant interlayer dielectrics
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US8267636B2 (en) * 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
JP2009094183A (ja) * 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5238224B2 (ja) * 2007-11-06 2013-07-17 東京エレクトロン株式会社 逆止弁およびそれを用いた基板処理装置
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN101988193B (zh) * 2009-08-05 2014-04-30 鸿富锦精密工业(深圳)有限公司 湿式镀膜系统
CN101988212B (zh) * 2009-08-05 2013-06-05 鸿富锦精密工业(深圳)有限公司 湿式镀膜装置
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5461943B2 (ja) * 2009-09-30 2014-04-02 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20110081137A1 (en) * 2009-10-06 2011-04-07 Advantest Corporation Manufacturing equipment and manufacturing method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
TW201201915A (en) * 2010-07-14 2012-01-16 Hon Hai Prec Ind Co Ltd Roller type coating apparatus
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
TW201209212A (en) * 2010-08-16 2012-03-01 Hon Hai Prec Ind Co Ltd Coating device
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8968877B2 (en) 2011-02-16 2015-03-03 Honeywell International Inc. Barrier materials for mirror assemblies
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5791329B2 (ja) * 2011-03-31 2015-10-07 大陽日酸株式会社 気相成長装置
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
JP5936853B2 (ja) * 2011-12-05 2016-06-22 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9337014B1 (en) 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
US9111971B2 (en) * 2012-07-30 2015-08-18 Applied Materials Israel, Ltd. System and method for temperature control of a semiconductor wafer
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
TWI474499B (zh) * 2012-10-12 2015-02-21 Iner Aec Executive Yuan Microcrystalline silicon thin film solar cell element and its manufacturing method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR20220119750A (ko) * 2013-09-26 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
CN104022074B (zh) * 2014-06-02 2017-01-11 复旦大学 一种含纳米孔隙的低介电常数复合薄膜的制备方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
JP6386394B2 (ja) * 2015-02-18 2018-09-05 東芝メモリ株式会社 複合プロセス装置
JP6496210B2 (ja) * 2015-08-12 2019-04-03 日本電子株式会社 荷電粒子線装置
JP6649157B2 (ja) * 2016-03-30 2020-02-19 株式会社Screenホールディングス 基板処理装置
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
CN107634017A (zh) * 2017-08-24 2018-01-26 德淮半导体有限公司 晶圆退火装置
CN110323161B (zh) * 2018-03-30 2023-06-06 芝浦机械电子株式会社 有机膜形成装置以及有机膜制造方法
CN108342706A (zh) * 2018-04-02 2018-07-31 杭州赛威斯真空技术有限公司 一种束线型磁控溅射生产线
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
TWI754179B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 具有改善溫度均勻性的空間晶圓處理
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
EP3672040A1 (en) * 2018-12-17 2020-06-24 Nexperia B.V. Device for enabling a rotating and translating movement by means of a single motor; apparatus and system comprising such a device
JP7213787B2 (ja) * 2018-12-18 2023-01-27 芝浦メカトロニクス株式会社 成膜装置
US11107709B2 (en) * 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11732360B2 (en) * 2019-02-28 2023-08-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming apparatus
WO2020190449A1 (en) * 2019-03-20 2020-09-24 Applied Materials, Inc. Method of growing thick oxide films at low temperature of thermal oxide quality
DE102019123556A1 (de) * 2019-09-03 2021-03-04 Aixtron Se Lademodul für ein CVD-Reaktorsystem
CN117187780A (zh) * 2022-05-30 2023-12-08 长鑫存储技术有限公司 半导体基板加工装置与膜厚改善方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4004130A (en) * 1975-10-23 1977-01-18 Fluoroware Systems Corporation Hot plates
US4315705A (en) * 1977-03-18 1982-02-16 Gca Corporation Apparatus for handling and treating wafers
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
JPS5534258A (en) * 1978-09-01 1980-03-10 Tokyo Denshi Kagaku Kabushiki Coating solution for forming silica film
US5024747A (en) * 1979-12-21 1991-06-18 Varian Associates, Inc. Wafer coating system
JPS5799639A (en) * 1980-12-12 1982-06-21 Fujitsu Ltd Treatment of negative type resist
JPS57135066A (en) * 1981-02-14 1982-08-20 Tatsumo Kk Rotary applying machine
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4507078A (en) * 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6126532A (ja) * 1984-07-13 1986-02-05 Sumitomo Electric Ind Ltd 光フアイバ−用母材の製造方法
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4816116A (en) * 1985-10-24 1989-03-28 Texas Instruments Incorporated Semiconductor wafer transfer method and arm mechanism
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
JPS63291419A (ja) * 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
JPH01135564A (ja) * 1987-11-23 1989-05-29 Tatsumo Kk 塗布装置
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5151871A (en) * 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
US5094884A (en) * 1990-04-24 1992-03-10 Machine Technology, Inc. Method and apparatus for applying a layer of a fluid material on a semiconductor wafer
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JP2843134B2 (ja) * 1990-09-07 1999-01-06 東京エレクトロン株式会社 塗布装置および塗布方法
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5312487A (en) * 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
US5187837A (en) * 1991-11-14 1993-02-23 White Consolidated Industries, Inc. Door hinge assembly
US5612082A (en) * 1991-12-13 1997-03-18 Symetrix Corporation Process for making metal oxides
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5289222A (en) * 1992-06-26 1994-02-22 Semiconductor Systems, Inc. Drain arrangement for photoresist coating apparatus
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US5392989A (en) * 1993-01-13 1995-02-28 Semiconductor Systems, Inc. Nozzle assembly for dispensing liquid
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
DE69428391T2 (de) * 1993-03-25 2002-07-04 Tokyo Electron Ltd Verfahren und Vorrichtung zur Beschichtung eines Filmes
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5766824A (en) * 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
DE69404778T2 (de) * 1993-07-16 1997-12-18 Semiconductor Systems Inc Thermische Behandlungsmodul für Beschichtungs/Entwicklungseinrichtung für Substrat
US5427820A (en) * 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
KR100307852B1 (ko) * 1993-11-12 2001-12-01 제리 에스터슨 평탄한기판의박막코팅방법및장치
US5885661A (en) * 1993-11-24 1999-03-23 Semiconductor Systems, Inc. Droplet jet method for coating flat substrates with resist or similar materials
JP3388628B2 (ja) * 1994-03-24 2003-03-24 東京応化工業株式会社 回転式薬液処理装置
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5695817A (en) * 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
TW294821B (ko) * 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
KR100370728B1 (ko) * 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
JP3095202B2 (ja) * 1994-11-18 2000-10-03 東京応化工業株式会社 回転カップ式液体供給装置
FI98958C (fi) * 1995-04-13 1997-09-10 Spectra Physics Visiontech Oy Menetelmä säiliön paikantamiseksi säiliön vuorauksen kulumismittauksessa
JP2994228B2 (ja) * 1995-04-24 1999-12-27 東京応化工業株式会社 回転カップ式塗布装置及び塗布方法
JPH0945611A (ja) * 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd 回転式基板塗布装置
US5788868A (en) * 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5611886A (en) * 1995-09-19 1997-03-18 Integrated Solutions, Inc. Process chamber for semiconductor substrates
JPH09107013A (ja) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
JP3227642B2 (ja) * 1995-10-13 2001-11-12 東京エレクトロン株式会社 塗布装置
JP3461068B2 (ja) * 1995-10-30 2003-10-27 東京応化工業株式会社 回転カップ式液体供給装置
US5725663A (en) * 1996-01-31 1998-03-10 Solitec Wafer Processing, Inc. Apparatus for control of contamination in spin systems
KR100274127B1 (ko) * 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
US5858108A (en) * 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
US5756157A (en) * 1996-10-02 1998-05-26 Silicon Valley Group Method for processing flat panel displays and large wafers
JP3420900B2 (ja) * 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 塗布液塗布方法
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
US5810993A (en) * 1996-11-13 1998-09-22 Emec Consultants Electrolytic production of neodymium without perfluorinated carbon compounds on the offgases
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (ja) * 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 塗布液塗布方法
US5942037A (en) * 1996-12-23 1999-08-24 Fsi International, Inc. Rotatable and translatable spray nozzle
JP3333121B2 (ja) * 1996-12-25 2002-10-07 東京エレクトロン株式会社 塗布装置
JP3578577B2 (ja) * 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US5916368A (en) * 1997-02-27 1999-06-29 The Fairchild Corporation Method and apparatus for temperature controlled spin-coating systems
JP3693783B2 (ja) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 基板処理装置
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3665826B2 (ja) * 1997-05-29 2005-06-29 Smc株式会社 基板熱処理装置
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
US6098843A (en) * 1998-12-31 2000-08-08 Silicon Valley Group, Inc. Chemical delivery systems and methods of delivery
US6214120B1 (en) * 1999-08-27 2001-04-10 Innovac Corporation High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same
TW471011B (en) * 1999-10-13 2002-01-01 Semiconductor Energy Lab Thin film forming apparatus

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100738700B1 (ko) * 2002-09-30 2007-07-12 동경 엘렉트론 주식회사 반송 장치
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
KR100839653B1 (ko) * 2006-05-17 2008-06-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 클러스터 처리 장치
KR101354571B1 (ko) * 2007-07-12 2014-01-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템
WO2011136604A2 (ko) * 2010-04-30 2011-11-03 주식회사 테라세미콘 기판 처리 장치
WO2011136604A3 (ko) * 2010-04-30 2012-03-01 주식회사 테라세미콘 기판 처리 장치
WO2012030032A1 (ko) * 2010-08-31 2012-03-08 주식회사 테라세미콘 배치식 기판 처리 장치
KR101157192B1 (ko) * 2010-08-31 2012-06-20 주식회사 테라세미콘 배치식 기판 처리 장치
KR20140000687A (ko) * 2010-10-05 2014-01-03 어플라이드 머티어리얼스, 인코포레이티드 오존 경화 및 경화후 수분 처리 모듈
US11482453B2 (en) 2019-06-14 2022-10-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device
US11791209B2 (en) 2019-06-14 2023-10-17 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device

Also Published As

Publication number Publication date
US20040020601A1 (en) 2004-02-05
JP2002033314A (ja) 2002-01-31
TW490765B (en) 2002-06-11
EP1124252A2 (en) 2001-08-16

Similar Documents

Publication Publication Date Title
KR20010082111A (ko) Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스
US6559070B1 (en) Mesoporous silica films with mobile ion gettering and accelerated processing
US5844195A (en) Remote plasma source
US5909994A (en) Vertical dual loadlock chamber
US5911834A (en) Gas delivery system
US5855681A (en) Ultra high throughput wafer vacuum processing system
US5902088A (en) Single loadlock chamber with wafer cooling function
US6152070A (en) Tandem process chamber
US6082950A (en) Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5838121A (en) Dual blade robot
US20010016157A1 (en) Optimal trajectory robot motion
US6129044A (en) Apparatus for substrate processing with improved throughput and yield
US6077157A (en) Process chamber exhaust system
US6838115B2 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US7176105B2 (en) Dielectric gap fill with oxide selectively deposited over silicon liner
WO2002004134A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
WO2021173387A1 (en) Systems and methods for depositing low-k dielectric films
EP1299195A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid