KR20220119750A - 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들 - Google Patents

기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들 Download PDF

Info

Publication number
KR20220119750A
KR20220119750A KR1020227028059A KR20227028059A KR20220119750A KR 20220119750 A KR20220119750 A KR 20220119750A KR 1020227028059 A KR1020227028059 A KR 1020227028059A KR 20227028059 A KR20227028059 A KR 20227028059A KR 20220119750 A KR20220119750 A KR 20220119750A
Authority
KR
South Korea
Prior art keywords
facet
chamber
facets
electronic device
device manufacturing
Prior art date
Application number
KR1020227028059A
Other languages
English (en)
Inventor
마이클 알. 라이스
제프리 씨. 허진스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220119750A publication Critical patent/KR20220119750A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53187Multiple station assembly apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Robotics (AREA)

Abstract

전자 디바이스 제조 시스템은, 상이한 크기의 하나 또는 그 초과의 프로세스 챔버들이 커플링될 수 있는 메인프레임을 포함할 수 있다. 상이한 개수의 프로세스 챔버들은 메인프레임의 각각의 패싯(즉, 측벽)에 커플링될 수 있다. 하나의 패싯에 커플링된 프로세스 챔버들은다른 패싯들에 커플링된 프로세스 챔버들과 크기가 상이할 수 있다. 예를 들어, 제 1 크기의 하나의 프로세스 챔버는 제 1 패싯에 커플링될 수 있고, 각각, 제 1 크기와 상이한 제 2 크기인 2개의 프로세스 챔버들은 제 2 패싯에 커플링될 수 있으며, 각각, 제 1 크기 및 제 2 크기와 상이한 제 3 크기인 3개의 프로세스 챔버들은 제 3 패싯에 커플링될 수 있다. 다른 구성들이 가능하다. 메인프레임은 정사각형 또는 직사각형 형상을 가질 수 있다. 다른 양태들과 같이, 전자 디바이스 제조 시스템을 조립하는 방법들이 또한 제공된다.

Description

기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들{MIXED-PLATFORM APPARATUS, SYSTEMS, AND METHODS FOR SUBSTRATE PROCESSING}
관련 출원에 대한 상호 참조
[0001] 본원은, "MIXED-PLATFORM APPARATUS, SYSTEMS, AND METHODS FOR SUBSTRATE PROCESSING"라는 명칭으로 2013년 9월 26일에 출원된, 미국 가특허 출원 제 61/882,795 호(문서 제 21215/L 호)에 대한 우선권을 주장하고, 이로써, 상기 출원은 모든 목적들을 위해 인용에 의해 본원에 포함된다.
[0002] 본 발명은 일반적으로, 전자 디바이스 제조에 관한 것이며, 더 구체적으로, 기판 프로세싱을 위한 혼합형-플랫폼(mixed-platform) 장치, 시스템들, 및 방법들에 관한 것이다.
[0003] 통상적인 전자 디바이스 제조 시스템들은 메인 프레임을 포함할 수 있고, 다수의 프로세스 챔버들 및 로드 록 챔버들이 메인프레임 주변에 배열된다. 메인프레임은 다수의 측벽들(일반적으로 "패싯들(facets)"로 지칭됨)을 가질 수 있고, 그러한 측벽들에는, 전형적으로 동일한 개수의, 일반적으로 동일한 크기의(generally equally-sized) 프로세스 챔버들 및/또는 로드 록 챔버들이 커플링된다. 예를 들어, 메인프레임은 4개의 패싯들을 가질 수 있고, 제 1 패싯은, 제 1 패싯에 커플링된 2개의 로드 록 챔버들을 가질 수 있으며, 다른 3개의 패싯들 각각은, 패싯들 각각에 커플링된 일반적으로 동일한 크기의 2개의 프로세스 챔버들을 가질 수 있다. 그러한 메인프레임 구성들은 전형적으로, 다양한 프로세스 챔버들 및/또는 로드 록 챔버들이, 선택적으로 및 교환 가능하게(interchangeably) 메인프레임 주변에 배열되는 것을 허용하기 위해, 제공된다. 그러나, 그러한 메인프레임 구성들에 의해, 전자 디바이스 제조 시스템에서 수행될 수 있는 기판 프로세싱의 유형들 및 시퀀스들이 제한될 수 있다.
[0004] 따라서, 다른 기판 프로세싱 메인프레임 구성들을 제공하기 위해, 장치, 시스템들, 및 방법들이 필요하다.
[0005] 제 1 양태에 따르면, 전자 디바이스 제조 시스템이 제공된다. 전자 디바이스 제조 시스템은, 이송 챔버 및 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 포함하는 메인프레임을 포함하고, 복수의 패싯들 각각은 하나 또는 그 초과의 프로세스 챔버들 또는 로드 록 챔버들에 커플링되도록 구성되며, 복수의 패싯들 중 각각의 패싯은 하나 또는 그 초과의 기판 액세스 포트들을 갖고, 복수의 패싯들 중 제 1 패싯은 제 1 개수의 기판 액세스 포트들을 가지며, 복수의 패싯들 중 제 2 패싯은 제 2 개수의 기판 액세스 포트들을 갖고, 제 2 개수는 제 1 개수와 상이하다.
[0006] 제 2 양태에 따르면, 다른 전자 디바이스 제조 시스템이 제공된다. 전자 디바이스 제조 시스템은, 이송 챔버 및 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 포함하는 메인프레임, 복수의 패싯들 중 제 1 패싯에 커플링된 제 1 프로세스 챔버 ― 제 1 프로세스 챔버는 제 1 패싯-측(side) 치수를 가짐 ―, 및 복수의 패싯들 중 제 2 패싯에 커플링된 제 2 프로세스 챔버 ― 제 2 프로세스 챔버는, 제 1 패싯-측 치수와 상이한 제 2 패싯-측 치수를 가짐 ― 를 포함한다.
[0007] 제 3 양태에 따르면, 전자 디바이스 제조 시스템을 조립하는 방법이 제공된다. 방법은, 이송 챔버 및 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 포함하는 메인프레임을 제공하는 단계, 제 1 챔버 ― 제 1 챔버는 제 1 패싯-측 치수를 가짐 ― 를 복수의 패싯들 중 제 1 패싯에 커플링하는 단계, 및 제 2 챔버 ― 제 2 챔버는, 제 1 패싯-측 치수와 상이한 제 2 패싯-측 치수를 가짐 ― 를 복수의 패싯들 중 제 2 패싯에 커플링하는 단계를 포함한다.
[0008] 본 발명의 실시예들의 또 다른 양태들, 특징들, 및 장점들은, 본 발명을 수행하기 위해 고려된 최상의 모드를 포함하는, 다수의 예시적인 실시예들 및 구현예들이 설명되고 예시된 이하의 상세한 설명으로부터 쉽게 자명할 수 있다. 본 발명은 또한, 그 밖의 그리고 상이한 실시예들을 포함할 수 있는데, 그 밖의 그리고 상이한 실시예들의 여러 가지 세부 사항들은 다양한 측면들에서 수정될 수 있으며, 그 모두는 본 발명의 범위로부터 벗어나지 않는다. 따라서, 도면들 및 설명들은 사실상 예시적인 것으로 여겨지며, 제한적인 것으로 여겨지지 않는다. 본 발명은 본 발명의 범위 내에 있는 모든 수정들, 등가물들(equivalents), 및 대안들(alternatives)을 커버한다(cover).
[0009] 이하에서 설명되는 도면들은 오직 예시적인 목적들을 위한 것이며, 반드시 실척으로 도시된 것은 아니다. 도면들은, 어떠한 방식으로든, 본 개시물의 범위를 제한하는 것으로 의도되지 않는다.
[0010] 도 1은, 종래 기술에 따른 전자 디바이스 제조 시스템의 개략적인 평면도를 예시한다.
[0011] 도 2는, 실시예들에 따른 혼합형-플랫폼 전자 디바이스 제조 시스템의 개략적인 평면도를 예시한다.
[0012] 도 3a-d는, 실시예들에 따른 도 2의 메인프레임 패싯들의 간략화된 부분적인 직각도들(orthographic views)을 예시한다.
[0013] 도 4는, 실시예들에 따른 전자 디바이스 제조 시스템을 조립하는 방법의 흐름도를 예시한다.
[0014] 이제, 본 개시물의 예시적인 실시예들이 상세히 참조될 것이며, 그러한 실시예들은 첨부한 도면들에 예시된다. 동일하거나 유사한 파트들(parts)을 지칭하기 위해서, 가능한 한, 도면들 전반에 걸쳐 동일한 참조 번호가 사용될 것이다.
[0015] 일 양태에서, 전자 디바이스 제조 시스템은, 이송 챔버 및 이송 챔버의 측벽들을 정의하는 다수의 패싯들을 갖는 메인프레임을 포함할 수 있다. 몇몇 실시예들에서, 메인프레임은 정사각형(square) 또는 직사각형(rectangular) 형상을 가질 수 있다. 하나 또는 그 초과의 로드 록 챔버들은 메인프레임의 하나의 패싯에 커플링될 수 있고, 한편, 하나 또는 그 초과의 프로세스 챔버들은 메인프레임의 다른 패싯들 각각에 커플링될 수 있다. 프로세스 챔버들은 다양한 기판 프로세스들을 수행할 수 있고, 상이한 패싯들에 커플링된 프로세스 챔버들은 동일한 크기일 필요는 없다. 또한, 각각의 메인프레임 패싯은, 동일한 개수의 프로세스 및/또는 로드 록 챔버들에 커플링되도록 구성되지 않을 수 있다. 예를 들어, 하나의 패싯은, 오직 하나의 제 1 크기의 프로세스 챔버에만 커플링되도록 구성될 수 있고, 제 2 패싯은, 각각 제 1 크기와 상이한 제 2 크기인 2개의 프로세스 챔버들에 커플링되도록 구성될 수 있는 것, 등등이다. 각각의 패싯 상의 하나 또는 그 초과의 기판 액세스 포트들은, 기판들이 로드 록 및 프로세스 챔버들 각각과 이송 챔버 사이에서 이송되는 것을 허용하기 위해, 로드 록 및 프로세스 챔버들 각각과 이송 챔버를 인터페이싱할 수 있다. 기판 액세스 포트들은, 각각의 패싯에 커플링될 수 있는 챔버의 크기 및 개수를 수용하도록, 크기가 정해질 수 있고 각각의 패싯 상에 포지셔닝될 수 있다. 그러한 메인프레임을 갖는 전자 디바이스 제조 시스템들은, 기판 프로세스들의 더 광범한 여러 가지의 더 다양한 시퀀스들이 단일 시스템에서 수행되는 것을 허용할 수 있고, 따라서, 그러한 전자 디바이스 제조 시스템들의 다기능성(versatility), 능력(capability), 및/또는 효율을 개선한다. 다른 양태들에서, 도 1-4와 관련하여 이하에서 더 상세하게 설명될 바와 같이, 전자 디바이스 제조 시스템을 조립하는 방법들이 제공된다.
[0016] 도 1은, 종래 기술에 따른 공지된 전자 디바이스 제조 시스템(100)의 예를 예시한다. 전자 디바이스 제조 시스템(100)은 기판들을 프로세싱하도록 구성되고, 4개의 패싯들(104a-d)을 갖는 메인프레임(102)을 포함할 수 있다. 메인프레임(102)은 이송 챔버(106)를 포함할 수 있고, 패싯들(104a-d)은 이송 챔버(106)의 측벽들을 정의할 수 있다. 패싯들(104a-d) 각각은, 수평으로-배향된 기판(108)이 패싯들 각각을 통과하게 허용하도록 각각 구성된 한 쌍의(a pair of) 기판 액세스 포트들(105)을 가질 수 있다. 기판(108)은, 전자 디바이스들 또는 회로 컴포넌트들을 만드는 데에 사용되는, 반도체 웨이퍼, 유리 플레이트 또는 패널, 및/또는 다른 작업물일 수 있다. 각각의 기판 액세스 포트(105)는, 예를 들어, 이송 챔버(106)의 측벽에 형성된 세장형(elongated) 슬롯 또는 슬릿일 수 있고, 각각, 기판 액세스 포트(105)를 개방하고 폐쇄하기 위해, 예를 들어, 슬릿 밸브 또는 다른 적합한 디바이스를 포함할 수 있다.
[0017] 패싯들(104a-d) 각각은, 프로세스 챔버들(110) 또는 로드 록 챔버들(114)의 각각의 쌍에 커플링될 수 있다. 각각의 프로세스 챔버(110) 및 로드 록 챔버(114)는, 각각의 기판 액세스 포트(105)에 대응하는 챔버 포트를 가질 수 있다. 이송 챔버(106), 프로세스 챔버들(110), 및/또는 로드 록 챔버들(114)은 각각, 진공 압력에서 동작할 수 있다. 프로세스 챔버들(110)은, 예를 들어, 증착, 산화, 질화, 에칭, 폴리싱, 세정, 또는 리소그래피, 등을 포함하여, 기판(108)에 대해 동일한 또는 상이한 프로세스를 각각 수행할 수 있다. 프로세스 챔버들에서 다른 프로세스들이 수행될 수 있다.
[0018] 메인프레임(102)은 또한, 이송 챔버(106)에 로봇 조립체(118)를 포함할 수 있다. 로봇 조립체(118)는, 하나 또는 그 초과의 기판들(108)을, 각각의 프로세스 챔버(110) 및 로드 록 챔버(114)로 그리고 각각의 프로세스 챔버(110) 및 로드 록 챔버(114)로부터 이송하도록 구성될 수 있다. 로드 록 챔버들(114)은 팩토리 인터페이스(120)에 커플링될 수 있고, 팩토리 인터페이스(120)는 하나 또는 그 초과의 FOUP들(front opening unified pods)(122)에 커플링될 수 있다. FOUP들(122)은 각각, 컨테이너일 수 있고, 컨테이너는, 다수의 기판들을 유지하기 위해, 컨테이너 내부에 고정식 카세트(stationary cassette)를 갖는다. FOUP들(122)은, 팩토리 인터페이스(120)와 사용되도록 구성된 전방 개구부(front opening)를 각각 가질 수 있다. 팩토리 인터페이스(120)는, 선형(linear), 회전(rotational), 및/또는 수직 운동을 통해 FOUP들(122)과 로드 록 챔버들(114) 사이에서 기판들을 이송하도록 구성된 하나 또는 그 초과의 로봇 조립체들(도시되지 않음) 및 버퍼 챔버(124)를 가질 수 있다. 기판들은 FOUP들(122)과 로드 록 챔버들(114) 사이에서 임의의 시퀀스 또는 방향으로 이송될 수 있다. 로드 록 챔버들(114)은 각각, 배치형(batch-type) 또는 단일 기판형(single substrate-type) 로드 록 챔버일 수 있다. 제어기(126)는, 로봇 조립체(118) 및/또는 전자 디바이스 제조 시스템(100)의 동작을 제어할 수 있다.
[0019] 도시된 바와 같이, 메인프레임(102)은 전형적으로, 패싯들(104a-c)에 커플링된, 동일한 개수의 실질적으로 동일한 크기의 프로세스 챔버들(110), 및 각각의 패싯(104a-c)에 커플링된 프로세스 챔버들의 수와 전형적으로 동일한 개수의, 패싯(104d)에 커플링된 로드 록 챔버들(114)을 갖는다. 기판 액세스 포트들(105)은 또한, 전형적으로 동일한 크기이고, 각각의 패싯(104a-d)은 전형적으로, 동일한 개수의 기판 액세스 포트들(105)을 갖는다. 다른 공지된 전자 디바이스 제조 시스템들에서, 메인프레임은, 예를 들어, 하나의 패싯에 커플링된 3개의 로드 록 챔버들 및 다른 패싯들 각각에 커플링된 3개의 프로세스 챔버들과 같이, 각각의 패싯에 커플링된 다른 동일한 개수들의 챔버들로 구성될 수 있다. 로드 록 챔버들 및 프로세스 챔버들의 일반적으로 대칭적인 메인프레임 구성들을 갖는 그러한 공지된 전자 디바이스 제조 시스템들은, 단일 전자 디바이스 제조 시스템에서 수행될 수 있는 기판 프로세싱의 유형들 및 시퀀스들에 관하여 제한될 수 있다.
[0020] 도 2는, 하나 또는 그 초과의 실시예들에 따른 전자 디바이스 제조 시스템(200)을 예시한다. 전자 디바이스 제조 시스템(200)은 다수의 기판들(108)을 동시에 프로세싱하도록 구성될 수 있다. 전자 디바이스 제조 시스템(200)은, 4개의 패싯들(204a-d)을 갖는 메인프레임(202)을 포함할 수 있다. 메인프레임(202)은 이송 챔버(206)를 포함할 수 있고, 패싯들(204a-d)은 이송 챔버(206)의 측벽들을 정의할 수 있다. 메인프레임(202)은 일반적으로 정사각형 또는 직사각형 형상을 가질 수 있다. 다른 실시예들에서, 메인프레임(202)은 다른 적합한 형상들 및/또는 개수들의 패싯들을 가질 수 있다.
[0021] 몇몇 실시예들에서, 패싯(204a)은 한 쌍의 기판 액세스 포트들(205a)을 가질 수 있고, 패싯(204b)은 3개의 기판 액세스 포트들(205b)(오직 하나만 레이블링됨(labeled))을 가질 수 있으며, 패싯(204c)은 하나의 기판 액세스 포트(205c)를 가질 수 있고, 패싯(204d)은 3개의 기판 액세스 포트들(205d)(포트들(205d) 중 2개가 레이블링됨)을 가질 수 있다. 기판 액세스 포트들(205a-d)은, 수평으로-배향된 기판(108)이 기판 액세스 포트들(205a-d)을 통과하는 것을 허용하도록 구성된다. 기판 액세스 포트들(205a-d) 각각은, 예를 들어, 이송 챔버(206)의 측벽에 형성된 세장형 슬롯 또는 슬릿일 수 있다. 기판 액세스 포트들(205a-d)은 기판 액세스 포트(205a-d)를 개방하고 폐쇄하도록 구성된 슬릿 밸브를 각각 포함할 수 있다. 슬릿 밸브들은, 예를 들어, L-motion 슬릿 밸브들과 같은, 임의의 적합한 통상적인 구성으로 이루어질 수 있다. 기판 액세스 포트들(205a-d)을 개방하고 폐쇄하기 위해 다른 적합한 디바이스들이 사용될 수 있다.
[0022] 기판 액세스 포트들(205a-d) 각각은 상이한 크기로 이루어질 수 있다. 예를 들어, 도 3a-3d에 도시된 바와 같이, 각각의 기판 액세스 포트(205a)는 폭(W305a)을 가질 수 있고, 각각의 기판 액세스 포트(205b)는 폭(W305b)을 가질 수 있으며, 기판 액세스 포트(205c)는 폭(W305c)을 가질 수 있다. 폭(W305a)은 폭(W305b)과 상이할 수 있고, 폭(W305c)은 폭(W305a)과 상이하고 폭(W305b)과 상이할 수 있다. 도 3d에서 305d1-d6으로 레이블링된(그리고, 이하에서 로드 록 챔버들(214, 215, 및 216)과 관련하여 추가적으로 설명되는) 각각의 기판 액세스 포트(205d)는, 폭(W305d)을 각각 가질 수 있고, 폭(W305d)은 폭(W305b)과 동일할 수 있거나 상이할 수 있다. 각각의 기판 액세스 포트(205a-d)의 폭은, 적어도, 기판(108)이 각각의 기판 액세스 포트(205a-d)를 통과하는 것을 허용하기에 충분히 넓다. 기판 액세스 포트들의 상이한 크기들은, 로봇 조립체(218)가, 패싯들(204a-d) 중 하나에 커플링된 챔버 내의 상이한 지역들에 도달하는 것을 허용할 수 있다. 예를 들어, 도 3a 및 3b에 도시된 바와 같이, 패싯이 둘 또는 그 초과의 기판 액세스 포트들을 갖는 몇몇 실시예들에서, 기판 액세스 포트들은 패싯에서 측방향으로(laterally) 센터링되지(centered) 않을 수 있고, 그리고/또는, 서로로부터 등거리로 이격되지 않을 수 있다. 예를 들어, 도 2 및 3c에 도시된 바와 같이, 패싯이 단일 기판 액세스 포트를 갖는 몇몇 실시예들에서, 기판 액세스 포트는 패싯에서 측방향으로 센터링될 수 있거나 오프셋될(offset) 수 있다.
[0023] 다른 실시예들에서, 패싯들(204a-d) 각각은, 도 2 및 3a-3d에 도시된 것들 이외의, 다른 개수들, 크기들, 및/또는 조합들의 기판 액세스 포트들을 가질 수 있고, 이러한 개수들, 크기들, 및/또는 조합들의 기판 액세스 포트들을 수용하기에 적합한 패싯의 폭이 제공된다. 예를 들어, 몇몇 실시예들에서, 패싯(204b)은, 3개의 기판 액세스 포트들(205b) 대신에, 하나의 기판 액세스 포트(205c)를 가질 수 있다. 다른 실시예들에서, 하나의 패싯은, 하나의 기판 액세스 포트(205a) 및 하나의 기판 액세스 포트(205b)를 가질 수 있는 한편, 다른 패싯은, 하나의 기판 액세스 포트(205b) 및 하나의 기판 액세스 포트(205c)를 가질 수 있다. 패싯이 적합한 폭을 갖는다면, 다양한 조합들의 기판 액세스 포트들이 가능할 수 있다. 이는, 이제 설명되는 바와 같은, 특정한 유형들 및 개수들의 원하는 프로세스 및 로드 록 챔버들에 대한 커플링을 위해 메인프레임(202)이 커스터마이징되는(customized) 것을 허용한다.
[0024] 도 2로 돌아가서, 패싯들(204a-d) 각각은, 하나 또는 그 초과의 프로세스 챔버들 또는 로드 록 챔버들에 커플링될 수 있다. 이송 챔버(206) 및 각각의 프로세스 챔버 및 로드 록 챔버는 진공 압력에서 동작할 수 있다. 몇몇 실시예들에서, 각각의 프로세스 챔버는 기판 프로세싱의 상이한 스테이지(stage) 또는 상(phase)을 나타낼 수 있다. 다른 실시예들에서, 둘 또는 그 초과의 프로세스 챔버들은, 전자 디바이스 제조 시스템(200)의 기판 처리량을 개선하기 위한 동시적인 기판 프로세싱을 위해, 동일한 프로세스를 수행할 수 있다.
[0025] 몇몇 실시예들에서, 패싯(204a)은 한 쌍의 프로세스 챔버들(210)에 커플링될 수 있고, 프로세스 챔버들(210)은 프로세스 챔버들(110)과 유사할 수 있거나 동일할 수 있다. 프로세스 챔버들(210)은 각각 실질적으로 동일한 크기일 수 있고, 예를 들어, 에칭, 화학 기상 증착, 또는 물리 기상 증착과 같은 동일한 또는 상이한 기판 프로세스를 각각 수행할 수 있다. 프로세스 챔버들(210) 중 하나 또는 양자 모두에 의해 다른 프로세스들이 수행될 수 있다. 프로세스 챔버들(210)은 각각의 기판 액세스 포트(205a)에 대응하는 챔버 포트를 각각 가질 수 있다. 프로세스 챔버들(210)은, 몇몇 실시예들에서, 프로세스 챔버(210)의 폭(W204a)(오직 하나의 프로세스 챔버(210)에서만 레이블링됨)일 수 있는 패싯-측 치수를 각각 가질 수 있다. 몇몇 실시예들에서, 폭(W204a)은, 예를 들어, 약 1.2미터일 수 있다. 패싯-측 치수는 대안적으로, 폭(W305a)(도 3a)일 수 있고, 폭(W305a)은 프로세스 챔버(210)의 챔버 포트 폭에 대응할 수 있다.
[0026] 몇몇 실시예들에서, 패싯(204b)은 프로세스 챔버(211)에 커플링될 수 있다. 프로세스 챔버(211)는 3개의 페데스탈 챔버(즉, 동시적인 프로세싱을 위해 최대 3개의 기판들(108)을 수용할 수 있음)일 수 있다. 프로세스 챔버(211)는, 3개의 기판 액세스 포트들(205b)에 각각 대응하는 3개의 챔버 포트들을 가질 수 있다. 프로세스 챔버(211)는, 몇몇 실시예들에서, 프로세스 챔버(211)의 폭(W204b)일 수 있는 패싯-측 치수를 가질 수 있다. 몇몇 실시예들에서, 폭(W204b)은, 예를 들어, 액 2.4미터일 수 있고, 패싯(204b)의 폭은 또한, 적어도 약 2.4미터일 수 있다. 프로세스 챔버(211)의 패싯-측 치수는 대안적으로, 폭(W305b)(도 3b)일 수 있고, 폭(W305b)은 프로세스 챔버(211)의 챔버 포트 폭에 대응할 수 있다. 몇몇 실시예들에서, 프로세스 챔버(211)는 DSM(dielectric systems and modules) 챔버일 수 있다. 프로세스 챔버(211)는 임의의 다른 적합한 유형의 프로세스 챔버일 수 있다.
[0027] 대안적인 실시예들에서, 패싯(204b)은 (프로세스 챔버(211)를 3개의 프로세스 챔버들(211a, 211b, 및 211c)로 분할하는 가상선들에 의해 예시된 바와 같은) 3개의 프로세스 챔버들에 커플링될 수 있다. 그러한 대안적인 실시예들에서, 3개의 프로세스 챔버들(211a, 211b, 및 211c) 각각은, 폭(W204b)의 약 3분의 1일 수 있는 패싯-측 치수를 가질 수 있는데, 이는 몇몇 실시예들에서, 약 800mm일 수 있다. 각각의 프로세스 챔버(211a, 211b, 및 211c)의 패싯-측 치수는 대안적으로, 폭(W305b)(도 3b)일 수 있고, 폭(W305b)은 프로세스 챔버(211a, 211b, 및 211c)의 챔버 포트 폭에 대응할 수 있다. 3개의 프로세스 챔버들(211a, 211b, 및 211c) 각각은, 동일한 또는 상이한 기판 프로세스를 수행할 수 있다.
[0028] 몇몇 실시예들에서, 패싯(204c)은 프로세스 챔버(212)에 커플링될 수 있다. 프로세스 챔버(212)는 프로세스 챔버들(210 및/또는 211)보다 더 클 수 있고, 기판 액세스 포트(205c)에 대응하는 챔버 포트를 가질 수 있다. 프로세스 챔버(212)는, 몇몇 실시예들에서, 프로세스 챔버(212)의 폭(W204c)일 수 있는 패싯-측 치수를 가질 수 있다. 몇몇 실시예들에서, 폭(W204c)은 약 1.2미터보다 더 클 수 있고, 패싯(204c)의 폭보다 작을 수 있는데, 이는 몇몇 실시예들에서, 약 2.4미터일 수 있다. 프로세스 챔버(212)의 패싯-측 치수는 대안적으로, 폭(W305c)(도 3b)일 수 있고, 폭(W305c)은 프로세스 챔버(212)의 챔버 포트 폭에 대응할 수 있다. 몇몇 실시예들에서, 프로세스 챔버(212)는 에피텍셜 챔버일 수 있다. 다른 실시예들에서, 프로세스 챔버(212)는 임의의 적합한 유형의 프로세스 챔버일 수 있다.
[0029] 몇몇 실시예들에서, 패싯(204d)은 로드 록 챔버들(214, 215, 및 216)에 커플링될 수 있다. 로드 록 챔버들(214, 215, 및 216)은 각각 배치형 또는 단일 기판형 로드 록 챔버일 수 있다. 몇몇 실시예들에서, 로드 록 챔버(214)는 적층형(stacked) 로드 록 챔버일 수 있고, 로드 록 챔버(215)는 3중-적층형(triple-stacked) 로드 록 챔버일 수 있으며, 로드 록 챔버(216)는 단일 용적(single volume) 로드 록 챔버일 수 있다. 로드 록 챔버들(214, 215, 및 216) 각각은, 각각의 기판 액세스 포트(205d)에 대응하는 하나 또는 그 초과의 챔버 포트들을 가질 수 있다. 예를 들어, 도 3d에 도시된 바와 같이, 2개의 분리된 기판 용적들을 가질 수 있는적층형 로드 록 챔버(214)는, 기판 액세스 포트들(305d1 및 305d2)에 각각 대응하는 2개의 수직으로-정렬된 챔버 포트들을 가질 수 있다. 3개의 분리된 기판 용적들을 가질 수 있는 3중-적층형 로드 록 챔버(215)는, 기판 액세스 포트들(305d3, 305d4, 및 305d5)에 각각 대응하는 3개의 수직으로-정렬된 챔버 포트들을 가질 수 있다. 그리고 단일 용적 로드 록 챔버(216)는, 기판 액세스 포트(305d6)에 대응하는 단일 챔버 포트를 가질 수 있다. 다른 실시예들에서, 로드 록 챔버들(214, 215, 및/또는 216) 중 임의의 하나 또는 그 초과는 적층형 로드 록 챔버, 3중-적층형 로드 록 챔버, 및/또는 단일 용적 로드 록 챔버일 수 있다. 또한, 몇몇 실시예들에서, 로드 록 챔버들(214, 215, 및/또는 216) 중 임의의 하나 또는 그 초과는 프로세스-가능한(process-capable) 챔버일 수 있다. 즉, 로드 록 챔버들(214, 215, 및/또는 216) 중 임의의 하나 또는 그 초과, 또는 그러한 챔버들 내부에 로케이팅된 용적들 중 임의의 용적은, 기판 예열(pre-heating), 저감(abatement), 또는 냉각 프로세스를 수행할 수 있다.
[0030] 메인프레임(202)은 또한, 이송 챔버(206)에 로봇 조립체(218)를 포함할 수 있다. 로봇 조립체(218)는, 각각의 프로세스 챔버(210, 211(대안적으로 211a-c), 및 212) 및 각각의 로드 록 챔버(214, 215, 및 216)로/로부터 하나 또는 그 초과의 기판들(108)을 이송하도록 구성될 수 있다. 로봇 조립체(218)는, 메인프레임(202)의 임의의 하나의 챔버로부터 임의의 다른 챔버로 직접 기판들(108)을 이송하도록 구성될 수 있다. 몇몇 실시예들에서, 기판들(108)은 로봇 조립체(218)에 의해 임의의 시퀀스 또는 방향으로 이송될 수 있다. 몇몇 실시예들에서, 로봇 조립체(218)는, 메인프레임(202)의 임의의 챔버로/로부터 각각 독립적으로 튀어나오고 들어갈 수 있는(projectable and retractable) 이중 운송 블레이드들(dual transport blades)을 가질 수 있고, 따라서 동시적인 기판 이송들을 가능하게 함으로써, 시스템 처리량을 증가시킨다. 몇몇 실시예들에서, 로봇 조립체(218)는 오직 단일 운송 블레이드만 가질 수 있고, 그리고/또는 SCARA(selective compliance articulated robot arm) 로봇일 수 있다. 대안적으로, 로봇 조립체(218)는, 메인프레임(202)의 챔버들 사이에서 기판들을 이송하기 위한 임의의 적합한 메커니즘일 수 있다.
[0031] 몇몇 실시예들에서, 프로세스 챔버들(210, 211(대안적으로 211a-c), 및 212)은, 로봇 조립체(218)의 운동을 최소화하고 따라서 하나의 챔버로부터 다음 챔버로 이동하는 기판들(108)의 이송 시간을 최소화하기 위해, 서로에 대해 포지셔닝될 수 있다. 그러한 포지셔닝은 기판 처리량을 증가시킬 수 있고, 후속하는 프로세스들 사이의 시간 및 이판 이송들 동안의 입자 오염의 가능성을 감소시키는 것에 의해, 수율을 개선할 수 있다.
[0032] 로드 록 챔버들(214, 215, 및 216)은 팩토리 인터페이스(220)에 커플링될 수 있고, 팩토리 인터페이스(220)와 이송 챔버(206) 사이에 제 1 진공을 제공할 수 있다. 몇몇 실시예들에서, 로드 록 챔버들(214, 215, 및 216) 각각은, 이송 챔버(206) 및 팩토리 인터페이스(220)와 교번하여(alternately) 연통하는(communicating) 것에 의해, 기판 처리량을 증가시킬 수 있다. 즉, 하나의 로드 록 챔버(214, 215, 또는 216), 또는 적층형 또는 3중-적층형 로드 록 챔버의 임의의 하나의 용적이 이송 챔버(206)와 연통하는 동안, 다른 로드 록 챔버들(214, 215, 또는 216), 또는 적층형 또는 3중-적층형 로드 록 챔버의 다른 용적들은 팩토리 인터페이스(220)와 연통할 수 있다. 팩토리 인터페이스(220), 로드 록 챔버들(214, 215, 또는 216), 그리고 이송 챔버(206) 사이에서의 기판 이송들은 임의의 다른 적합한 방식으로 이루어질 수 있다.
[0033] 팩토리 인터페이스(220)는 하나 또는 그 초과의 FOUP들(front opening unified pods)(222)에 커플링될 수 있다. FOUP들(222)은 각각 컨테이너일 수 있고, 컨테이너는, 다수의 기판들을 유지하기 위해, 컨테이너 내부에 고정식 카세트를 갖는다. FOUP들(222)은, 팩토리 인터페이스(220)와 사용되도록 구성된 전방 개구부 인터페이스를 각각 가질 수 있다. 다른 실시예들에서, FOUP들(222) 대신에, 임의의 적합한 유형의 포드 및/또는 로드 포트가 사용될 수 있다. 팩토리 인터페이스(220)는, 선형, 회전, 및/또는 수직 운동을 통해 FOUP들(222)과 로드 록 챔버들(214, 215, 및 216) 사이에서 기판들을 이송하도록 구성된, 하나 또는 그 초과의 로봇 조립체들(도시되지 않음) 및 버퍼 챔버(224)를 가질 수 있다. 기판들은 FOUP들(222)과 로드 록 챔버들(214, 215, 및 216) 사이에서 임의의 시퀀스 또는 방향으로 이송될 수 있다.
[0034] 전자 디바이스 제조 시스템(200)은 다른 적합한 개수의 FOUP들(222) 및/또는 로드 록 챔버들을 가질 수 있다. 몇몇 실시예들에서, 패싯(204d)에 커플링된 로드 록 챔버들의 개수는 패싯들(204a-c) 중 임의의 하나에 커플링된 프로세스 챔버들의 개수에 대해 독립적일 수 있다. 예를 들어, 로드 록 챔버들의 개수는, 패싯에 커플링된 프로세스 챔버들의 최대(highest) 개수와 상이할 수 있다. 또한, 몇몇 실시예들에서, 4개의 프로세스 챔버들의 크기(들)에 대한 메인프레임(202)의 크기에 따라, 최대 4개의 프로세스 챔버들이 단일 패싯에 커플링될 수 있다. 몇몇 실시예들에서, 메인프레임(202)은 패싯들(204a-d) 상에 로케이팅된 각각의 챔버 포지션에 커플링되는 챔버를 가지지 않을 수 있다.
[0035] 제어기(226)는, 전자 디바이스 제조 시스템(200)에서 그리고 전자 디바이스 제조 시스템(200)을 통한 기판들(108)의 프로세싱 및 이송을 제어할 수 있다. 제어기(226)는, 예를 들어, 범용 컴퓨터일 수 있고, 그리고/또는, 마이크로프로세서 또는 다른 적합한 CPU(central processing unit), 전자 디바이스 제조 시스템(200)을 제어하는 소프트웨어 루틴들을 저장하기 위한 메모리, 입력/출력 주변장치들(peripherals), 및 (예를 들어, 전력 공급부들, 클럭 회로들, 로봇 조립체(218)를 구동하기 위한 회로들, 및/또는 캐시, 등과 같은) 지원 회로들을 포함할 수 있다. 제어기(226)는, 예를 들어, 프로세스 챔버들(210, 211(대안적으로 211a-c), 및 212) 각각을 통해 하나 또는 그 초과의 기판들을 연속적으로(sequentially) 프로세싱하도록 프로그래밍될(programmed) 수 있다. 다른 실시예들에서, 제어기(226)는, 프로세스 챔버들(210, 211 (대안적으로 211a-c), 및 212)을 통해 기판을 임의의 원하는 순서로 프로세싱하도록 프로그래밍될 수 있다. 또 다른 실시예들에서, 제어기(226)는, 하나 또는 그 초과의 프로세스 챔버들(210, 211(대안적으로 211a-c), 및 212)에서 하나 또는 그 초과의 기판들의 프로세싱을 생략하고(skip) 그리고/또는 반복하도록 프로그래밍될 수 있다. 제어기(226)는 대안적으로, 전자 디바이스 제조 시스템(200)에서 임의의 적합한 방식으로 하나 또는 그 초과의 기판들을 프로세싱하도록 프로그래밍될 수 있다.
[0036] 몇몇 실시예들에서, 2개의 전자 디바이스 제조 시스템들(200)이 클러스터링될(clustered) 수 있다. 즉, 예를 들어, 제 1 메인프레임(202)의 패싯(204b) 및 제 2 메인프레임(202)의 패싯(204d)과 같은, 메인프레임(202) 각각의 패싯은, 2개의 전자 디바이스 제조 시스템들(200) 사이에서 기판들을 이송하기 위해, 동일한 패스-스루(pass-through) 챔버에 커플링될 수 있다. 이는, 그러한 전자 디바이스 제조 시스템들의 다기능성, 능력, 및/또는 효율을 추가적으로 증진시킬 수 있다.
[0037] 도 4는, 하나 또는 그 초과의 실시예들에 따른 전자 디바이스 제조 시스템을 조립하는 방법(400)을 예시한다. 프로세스 블록(402)에서, 방법(400)은, 이송 챔버 및 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 갖는 메인프레임을 제공하는 단계를 포함할 수 있다. 예를 들어, 메인프레임은, 이송 챔버(206)의 측벽들을 정의하는 패싯들(204a-d)을 갖는, 도 2의 메인프레임(202)일 수 있다.
[0038] 프로세스 블록(404)에서, 제 1 챔버는 메인프레임의 제 1 패싯에 커플링될 수 있다. 제 1 챔버는 제 1 패싯-측 치수를 가질 수 있다. 제 1 패싯-측 치수는, 예를 들어, 챔버의 패싯-측 폭일 수 있거나, 제 1 챔버에 대한 기판 액세스 포트의 폭일 수 있다. 몇몇 실시예들에서, 제 1 챔버는, 예를 들어, 패싯(204a)에 커플링된 프로세스 챔버(210)일 수 있고, 제 1 패싯-측 치수는 프로세스 챔버(210)의 폭(W204a), 또는 기판 액세스 포트(205a)의 폭(W305a)일 수 있다.
[0039] 프로세스 블록(406)에서, 방법(400)은, 제 2 챔버를 메인프레임의 제 2 패싯에 커플링하는 단계를 포함할 수 있다. 제 2 챔버는, 제 1 패싯-측 치수와 상이한 제 2 패싯-측 치수를 가질 수 있다. 제 2 패싯-측 치수는, 예를 들어, 챔버의 패싯-측 폭일 수 있거나, 제 2 챔버에 대한 기판 액세스 포트의 폭일 수 있다. 몇몇 실시예들에서, 제 2 챔버는, 예를 들어, 패싯(204c)에 커플링된 프로세스 챔버(212)일 수 있고, 제 2 패싯-측 치수는 프로세스 챔버(212)의 폭(W204c) 또는 기판 액세스 포트(205c)의 폭(W305c)일 수 있다.
[0040] 방법(400)의 상기 프로세스 블록들은, 도시되고 설명된 순서 및 시퀀스에 제한되지 않는 순서 또는 시퀀스로 실행될 수 있거나 수행될 수 있다. 예를 들어, 몇몇 실시예들에서, 프로세스 블록(404)은 프로세스 블록(406) 이후에 또는 동시에 수행될 수 있다.
[0041] 당업자는, 본원에서 설명되는 본 발명의 실시예들이, 광범위한 유틸리티(utility) 및 어플리케이션을 허용한다는 것을 쉽게 이해해야 한다. 본원에서 설명된 실시예들 및 적응물들(adaptations) 이외에, 본 발명의 많은 실시예들 및 적응물들 뿐만 아니라 많은 변형들(variations), 수정들(modifications), 및 등가의 배열체들이, 본 발명의 범위 또는 본질로부터 벗어나지 않으면서, 본 발명 및 본 발명의 전술한 설명으로부터 자명할 것이거나, 또는 그에 의해 합리적으로 제안될 것이다. 예를 들어, 예시적인 혼합형-플랫폼 전자 디바이스 제조 시스템이 도 2에 도시되었지만, 다른 적합한 구성들의 혼합형-플랫폼 프로세스 및 로드 록 챔버들이, 본 발명의 하나 또는 그 초과의 실시예들에 따른 전자 디바이스 제조 시스템들에서 사용될 수 있다. 따라서, 본원에서 특정 실시예들과 관련하여 본 발명이 상세하게 설명되었지만, 본 개시물은 오로지, 예시적인 것이고, 본 발명의 예들을 보여줄 뿐이며, 단지, 본 발명의 실시 가능한 전체의(full and enabling) 개시물을 제공하기 위한 목적들을 위해 이루어졌음을 이해되어야 한다. 본 개시물은 본 발명을, 개시된 특정한 장치, 디바이스들, 조립체들, 시스템들, 또는 방법들에 제한하도록 의도되지 않으며, 반대로, 의도는, 본 발명의 범위 내에 있는 모든 수정들, 등가물들, 및 대안들을 커버하는 것이다.

Claims (15)

  1. 전자 디바이스 제조 시스템으로서,
    이송 챔버 및 상기 이송 챔버의 측벽들을 정의하는 복수의 패싯들(facets)을 포함하는 메인프레임을 포함하고,
    상기 복수의 패싯들 각각은 하나 또는 그 초과의 프로세스 챔버들 또는 로드 록 챔버들에 커플링되도록 구성되며 상기 복수의 패싯들 중 각각의 패싯은 하나 또는 그 초과의 기판 액세스 포트들을 갖고,
    상기 복수의 패싯들 중 제 1 패싯은 제 1 개수의 기판 액세스 포트들을 가지며, 상기 복수의 패싯들 중 제 2 패싯은 제 2 개수의 기판 액세스 포트들을 갖고, 상기 제 2 개수는 상기 제 1 개수와 상이한,
    전자 디바이스 제조 시스템.
  2. 제 1 항에 있어서,
    상기 복수의 패싯들 중 제 3 패싯은 제 3 개수의 기판 액세스 포트들을 갖고, 상기 제 3 개수는 상기 제 1 개수와 상이하며 상기 제 2 개수와 상이한,
    전자 디바이스 제조 시스템.
  3. 제 1 항에 있어서,
    상기 복수의 패싯들 중 제 1 패싯의 기판 액세스 포트들 중 제 1 기판 액세스 포트는 제 1 크기를 갖고, 상기 복수의 패싯들 중 제 2 패싯의 기판 액세스 포트들 중 제 2 기판 액세스 포트는 제 2 크기를 가지며, 상기 제 2 크기는 상기 제 1 크기와 상이한,
    전자 디바이스 제조 시스템.
  4. 제 3 항에 있어서,
    상기 복수의 패싯들 중 제 3 패싯의 기판 액세스 포트들 중 제 3 기판 액세스 포트는 제 3 크기를 갖고, 상기 제 3 크기는 상기 제 1 크기와 상이하며 상기 제 2 크기와 상이한,
    전자 디바이스 제조 시스템.
  5. 전자 디바이스 제조 시스템으로서,
    이송 챔버 및 상기 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 포함하는 메인프레임;
    상기 복수의 패싯들 중 제 1 패싯에 커플링된 제 1 프로세스 챔버 ― 상기 제 1 프로세스 챔버는 제 1 패싯-측(side) 치수를 가짐 ―; 및
    상기 복수의 패싯들 중 제 2 패싯에 커플링된 제 2 프로세스 챔버 ― 상기 제 2 프로세스 챔버는, 상기 제 1 패싯-측 치수와 상이한 제 2 패싯-측 치수를 가짐 ― 를 포함하는,
    전자 디바이스 제조 시스템.
  6. 제 5 항에 있어서,
    상기 복수의 패싯들 중 제 3 패싯에 커플링된 제 3 프로세스 챔버를 더 포함하고, 상기 제 3 프로세스 챔버는, 상기 제 1 패싯-측 치수와 상이하고 상기 제 2 패싯-측 치수와 상이한 제 3 패싯-측 치수를 갖는,
    전자 디바이스 제조 시스템.
  7. 제 5 항에 있어서,
    상기 복수의 패싯들 중 제 1 패싯은, 상기 복수의 패싯들 중 제 2 패싯에 커플링된 것과 상이한 개수의, 상기 제 1 패싯에 커플링된 프로세스 챔버들을 갖는,
    전자 디바이스 제조 시스템.
  8. 제 7 항에 있어서,
    상기 복수의 패싯들 중 제 2 패싯은, 상기 복수의 패싯들 중 제 3 패싯에 커플링된 것과 상이한 개수의, 상기 제 2 패싯에 커플링된 프로세스 챔버들을 갖고, 상기 복수의 패싯들 중 제 3 패싯은, 상기 복수의 패싯들 중 제 1 패싯에 커플링된 것과 상이한 개수의, 상기 제 3 패싯에 커플링된 프로세스 챔버들을 갖는,
    전자 디바이스 제조 시스템.
  9. 제 5 항에 있어서,
    제 4 및 제 5 프로세스 챔버는 상기 복수의 패싯들 중 제 1 패싯에 커플링되고, 상기 제 4 및 제 5 프로세스 챔버들은 각각, 상기 제 1 프로세스 챔버의 제 1 패싯-측 치수와 실질적으로 동일한 패싯-측 치수를 갖는,
    전자 디바이스 제조 시스템.
  10. 제 5 항에 있어서,
    복수의 로드 록 챔버들은 상기 복수의 패싯들 중 제 4 패싯에 커플링되고, 상기 복수의 로드 록 챔버들 중 적어도 하나는 적층형(stacked) 로드 록 챔버, 3중-적층형(triple-stacked) 로드 록 챔버, 또는 프로세스-가능한(process-capable) 로드 록 챔버인,
    전자 디바이스 제조 시스템.
  11. 전자 디바이스 제조 시스템을 조립하는 방법으로서,
    이송 챔버 및 상기 이송 챔버의 측벽들을 정의하는 복수의 패싯들을 포함하는 메인프레임을 제공하는 단계;
    제 1 챔버 ― 상기 제 1 챔버는 제 1 패싯-측 치수를 가짐 ― 를 상기 복수의 패싯들 중 제 1 패싯에 커플링하는 단계; 및
    제 2 챔버 ― 상기 제 2 챔버는, 상기 제 1 패싯-측 치수와 상이한 제 2 패싯-측 치수를 가짐 ― 를 상기 복수의 패싯들 중 제 2 패싯에 커플링하는 단계를 포함하는,
    전자 디바이스 제조 시스템을 조립하는 방법.
  12. 제 11 항에 있어서,
    상기 제 1 챔버 및 상기 제 2 챔버는 각각, 하나 또는 그 초과의 기판들을 프로세싱하기 위한 프로세스 챔버들인,
    전자 디바이스 제조 시스템을 조립하는 방법.
  13. 제 11 항에 있어서,
    제 3 챔버 ― 상기 제 3 챔버는, 상기 제 1 패싯-측 치수와 상이하고 상기 제 2 패싯-측 치수와 상이한 제 3 패싯-측 치수를 가짐 ― 를 상기 복수의 패싯들 중 제 3 패싯에 커플링하는 단계를 더 포함하는,
    전자 디바이스 제조 시스템을 조립하는 방법.
  14. 제 11 항에 있어서,
    복수의 로드 록 챔버들을 상기 복수의 패싯들 중 제 4 패싯에 커플링하는 단계를 더 포함하고,
    로드 록 챔버들 중 적어도 하나는 적층형 로드 록 챔버, 3중-적층형 로드 록 챔버, 또는 프로세스-가능한 로드 록 챔버 중 하나인,
    전자 디바이스 제조 시스템을 조립하는 방법.
  15. 제 11 항에 있어서,
    제 4 챔버 및 제 5 챔버 ― 상기 제 4 및 제 5 챔버들은 각각, 상기 제 1 챔버의 제 1 패싯-측 치수와 실질적으로 동일한 패싯-측 치수를 가짐 ― 를 상기 복수의 패싯들 중 제 1 패싯에 커플링하는 단계, 및
    제 6 챔버 ― 상기 제 6 챔버는, 상기 제 2 챔버의 제 2 패싯-측 치수와 실질적으로 동일한 패싯-측 치수를 가짐 ― 를 상기 복수의 패싯들 중 제 2 패싯에 커플링하는 단계를 더 포함하는,
    전자 디바이스 제조 시스템을 조립하는 방법.
KR1020227028059A 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들 KR20220119750A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361882795P 2013-09-26 2013-09-26
US61/882,795 2013-09-26
PCT/US2014/057233 WO2015048144A1 (en) 2013-09-26 2014-09-24 Mixed-platform apparatus, systems, and methods for substrate processing
KR1020167011044A KR20160064177A (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167011044A Division KR20160064177A (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들

Publications (1)

Publication Number Publication Date
KR20220119750A true KR20220119750A (ko) 2022-08-30

Family

ID=52689672

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187022725A KR102161685B1 (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
KR1020167011044A KR20160064177A (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
KR1020227028059A KR20220119750A (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020187022725A KR102161685B1 (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
KR1020167011044A KR20160064177A (ko) 2013-09-26 2014-09-24 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들

Country Status (6)

Country Link
US (4) US9717147B2 (ko)
JP (4) JP2016537805A (ko)
KR (3) KR102161685B1 (ko)
CN (2) CN108695213B (ko)
TW (3) TWI769390B (ko)
WO (1) WO2015048144A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102161685B1 (ko) 2013-09-26 2020-10-05 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
TWI699850B (zh) 2013-11-04 2020-07-21 美商應用材料股份有限公司 半導體裝置處理工具及用於該半導體裝置處理工具的介面單元
CN106548957B (zh) * 2015-09-18 2020-05-08 中微半导体设备(上海)股份有限公司 一种处理腔以及基片处理系统
CN106548958B (zh) * 2015-09-18 2020-09-04 中微半导体设备(上海)股份有限公司 一种整合多功能腔以及基片处理系统
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10600645B2 (en) * 2016-12-15 2020-03-24 Samsung Electronics Co., Ltd. Manufacturing method of gallium nitride substrate
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
KR102155168B1 (ko) * 2017-09-27 2020-09-11 어플라이드 머티어리얼스, 인코포레이티드 진공 밀봉을 위한 로크 밸브, 진공 챔버 및 진공 프로세싱 시스템
US11177183B2 (en) 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
CN112928043B (zh) * 2019-12-05 2022-07-22 应用材料公司 具有可替换接口板的可重新构造的主机
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3575737A (en) 1968-06-25 1971-04-20 Sandvikens Jernverks Ab Razor blades and other thin cutting edge tools and method of manufacture of such tools
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
JPH11168086A (ja) 1997-12-03 1999-06-22 Matsushita Electric Ind Co Ltd 基板処理装置及び基板処理方法
KR100508749B1 (ko) * 1998-06-01 2005-11-21 삼성전자주식회사 반도체장치 제조용 식각설비 및 이를 이용한 식각방법
JP4256543B2 (ja) 1999-08-17 2009-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 表示情報確定方法及び装置、表示情報確定のためのソフトウエア・プロダクトを格納した記憶媒体
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6916397B2 (en) 2000-06-14 2005-07-12 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6625898B2 (en) * 2001-06-13 2003-09-30 Applied Materials, Inc Variable method and apparatus for alignment of automated workpiece handling systems
WO2003009346A2 (en) 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2003203963A (ja) 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
KR20040008894A (ko) * 2002-07-19 2004-01-31 주식회사 하이닉스반도체 멀티 챔버를 구비한 반도체 제조 장비
US6896513B2 (en) 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system
JP2006134901A (ja) 2002-12-19 2006-05-25 Hitachi Kokusai Electric Inc 基板処理装置
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP2004356295A (ja) 2003-05-28 2004-12-16 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4791110B2 (ja) * 2005-09-02 2011-10-12 東京エレクトロン株式会社 真空チャンバおよび真空処理装置
CN103021908B (zh) 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20080019806A1 (en) 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
JP2008028902A (ja) * 2006-07-25 2008-02-07 Samsung Electronics Co Ltd 映像調整装置
US20080202419A1 (en) * 2007-02-27 2008-08-28 Smith John M Gas manifold directly attached to substrate processing chamber
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
JP4516089B2 (ja) * 2007-03-30 2010-08-04 アプライド マテリアルズ インコーポレイテッド ウェハ搬送用ブレード
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
KR20080102681A (ko) 2007-05-21 2008-11-26 주식회사 아이피에스 실리사이드 형성 방법, 이 방법의 실시에 적합한 박막 형성장치 및 이 장치를 이용한 박막 형성 방법
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP5005512B2 (ja) 2007-11-07 2012-08-22 東京エレクトロン株式会社 ゲートバルブ装置および真空処理装置およびゲートバルブ装置における弁体の開放方法。
KR100965413B1 (ko) * 2008-04-18 2010-06-25 엘아이지에이디피 주식회사 기판 처리용 클러스터 장치 및 클러스터 장치의 기판 처리방법
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
JP2010074073A (ja) * 2008-09-22 2010-04-02 Hitachi Kokusai Electric Inc 基板処理装置
TWI488247B (zh) * 2008-11-12 2015-06-11 Intevac Inc 輸送及處理基板之裝置與方法
JP5388279B2 (ja) 2009-02-27 2014-01-15 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
JP5409479B2 (ja) 2010-03-29 2014-02-05 日立コンシューマエレクトロニクス株式会社 光情報再生装置、光情報記録装置及び情報記録方法
KR101786868B1 (ko) 2010-12-28 2017-10-18 캐논 아네르바 가부시키가이샤 제조방법
KR101389269B1 (ko) 2010-12-30 2014-05-07 엘아이지에이디피 주식회사 평판표시소자 제조장비의 기판 감지장치 및 기판 감지방법
US9177842B2 (en) * 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
KR101870660B1 (ko) * 2011-08-19 2018-06-26 세메스 주식회사 기판처리장치 및 기판처리방법
TWI725303B (zh) 2012-02-10 2021-04-21 美商布魯克斯自動機械公司 基材處理設備
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
CN111489987A (zh) * 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
CN105051861B (zh) 2013-03-15 2017-11-14 应用材料公司 适合于在电子器件制造中处理基板的处理系统、设备及方法
KR102161685B1 (ko) * 2013-09-26 2020-10-05 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
US10278501B2 (en) * 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US10595515B2 (en) * 2014-10-01 2020-03-24 National Research Council Of Canada Animal models, cell lines and methods for screening hepatitis C virus vaccines

Also Published As

Publication number Publication date
CN105580124A (zh) 2016-05-11
TW201513252A (zh) 2015-04-01
US10595415B2 (en) 2020-03-17
CN108695213A (zh) 2018-10-23
JP2022008871A (ja) 2022-01-14
JP2016537805A (ja) 2016-12-01
US20170290166A1 (en) 2017-10-05
WO2015048144A1 (en) 2015-04-02
TWI671845B (zh) 2019-09-11
CN108695213B (zh) 2022-03-18
TWI769390B (zh) 2022-07-01
TW202004957A (zh) 2020-01-16
US20230413448A1 (en) 2023-12-21
JP2020115558A (ja) 2020-07-30
JP2019004158A (ja) 2019-01-10
KR20180091961A (ko) 2018-08-16
JP6860531B2 (ja) 2021-04-14
CN105580124B (zh) 2018-05-18
TW201834117A (zh) 2018-09-16
US20150082625A1 (en) 2015-03-26
JP6957667B2 (ja) 2021-11-02
KR102161685B1 (ko) 2020-10-05
TWI629739B (zh) 2018-07-11
US20200170117A1 (en) 2020-05-28
US9717147B2 (en) 2017-07-25
US11576264B2 (en) 2023-02-07
KR20160064177A (ko) 2016-06-07

Similar Documents

Publication Publication Date Title
KR102161685B1 (ko) 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
JP2011504288A5 (ko)
US20160284577A1 (en) Substrate transfer method and substrate processing apparatus
US20200176288A1 (en) Systems and Methods for Workpiece Processing
US11923215B2 (en) Systems and methods for workpiece processing
US20210358781A1 (en) Semiconductor processing system
KR101383248B1 (ko) 고속 기판 처리 시스템
US20190088530A1 (en) Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
CN117242562A (zh) 用于工件加工的系统和方法
US9627236B2 (en) Substrate treating apparatus
KR20080079780A (ko) 기판 반송 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application