KR102463977B1 - 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법 - Google Patents

웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법 Download PDF

Info

Publication number
KR102463977B1
KR102463977B1 KR1020150144866A KR20150144866A KR102463977B1 KR 102463977 B1 KR102463977 B1 KR 102463977B1 KR 1020150144866 A KR1020150144866 A KR 1020150144866A KR 20150144866 A KR20150144866 A KR 20150144866A KR 102463977 B1 KR102463977 B1 KR 102463977B1
Authority
KR
South Korea
Prior art keywords
wafer
efem
port
wall
front wall
Prior art date
Application number
KR1020150144866A
Other languages
English (en)
Other versions
KR20160045610A (ko
Inventor
토르스텐 릴
바히드 바헤디
캔디 크리스토퍼센
3세 엔드류 디. 베일리
메이화 쉔
랑게쉬 라가반
가리 불트만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160045610A publication Critical patent/KR20160045610A/ko
Application granted granted Critical
Publication of KR102463977B1 publication Critical patent/KR102463977B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67356Closed carriers specially adapted for containing chips, dies or ICs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 프로세싱 모듈들로 그리고 웨이퍼 프로세싱 모듈들로부터 웨이퍼들을 이송하는데 유용한 EFEM은 전방 벽, 후방 벽, 제 1 측벽 및 제 2 측벽, 상단 벽, 및 하단 벽에 의해 경계를 이루는 (bound), 인클로저로서, 내부에 제어된 환경을 가진 인클로저를 포함한다. 제 1 측벽 및 제 2 측벽은 2개 이상의 웨이퍼 로드 포트들을 포함하고, 웨이퍼 로드 포트 각각은 FOUP을 수용하도록 구성된다 (adapt). 전방 벽은 웨이퍼로 하여금 전방 벽 클러스터 프로세싱 툴로 이송되게 하도록 동작 가능한 각각의 로드 록들에 부착되도록 구성된 웨이퍼 포트들을 포함한다. 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 웨이퍼 포트를 포함한다. EFEM 인클로저 내의 로봇은 웨이퍼 로드 포트들, 제 1 전방 벽 웨이퍼 포트, 제 2 전방 벽 웨이퍼 포트, 및 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송하도록 동작 가능하다.

Description

웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법{EQUIPMENT FRONT END MODULE FOR TRANSFERRING WAFERS AND METHOD OF TRANSFERRING WAFERS}
이 발명은 웨이퍼 프로세싱 시스템들에 관한 것이고, 웨이퍼 프로세싱 시스템들의 EFEM들 (equipment front end module) 의 특정한 용도를 찾을 수도 있다.
상이한 타입들의 툴들은 웨이퍼 프로세싱 시스템들에서 웨이퍼들 (즉, 반도체 기판들) 의 프로세싱 동안 수백 개의 프로세싱 동작들을 수행하도록 사용된다. 이들 동작들 대부분은 매우 저압에서 웨이퍼 프로세싱 시스템의 진공 챔버들 (즉, 프로세스 챔버들) 내에서 수행된다. 다른 동작들은 필터링된 공기 또는 비활성 가스의 제어된 환경들 내에서 대기압에서 수행된다. 웨이퍼들은 각각의 프로세스 챔버들에 기계적으로 커플링되는 웨이퍼 핸들링 시스템들을 가진 프로세스 챔버들에 도입된다. 웨이퍼 핸들링 시스템들은 웨이퍼들을 작업 현장으로부터 프로세스 챔버로 이송한다. 웨이퍼 핸들링 시스템들은 예를 들어, 웨이퍼들을 FOUP (front opening unified pod) 로부터 프로세싱을 위한 웨이퍼 프로세싱 시스템으로 그리고 다시 FOUP로 이송시키도록 동작 가능한 EFEM들, 웨이퍼들을 대기 조건들로부터 매우 저압 조건들 (예를 들어, 진공 조건들) 로 그리고 다시 대기 조건들로 이끄는 로드 록들을 포함할 수 있고, 로봇들은 웨이퍼들을 웨이퍼 프로세싱 시스템 내의 다양한 위치들로 이송시킨다. 쓰루풋 (즉, 시간의 기간 내에 프로세싱되는 웨이퍼들의 수) 은 웨이퍼 프로세싱 시간, 주어진 시간에 프로세싱되는 웨이퍼들의 수, 뿐만 아니라 웨이퍼들을 진공 챔버들 내로 도입하기 위한 단계들의 타이밍에 의해 영향을 받는다. 따라서, 쓰루풋을 증가시키는 개선된 방법들 및 장치들이 요구된다.
반도체 웨이퍼 프로세싱 모듈들로 그리고 반도체 웨이퍼 프로세싱 모듈들로부터 반도체 웨이퍼들을 이송시키는데 유용한 EFEM이 본 명세서에 개시된다. EFEM은 내부에 제어된 환경을 갖도록 구성된 인클로저를 포함하고, 인클로저는 전방 벽, 후방 벽, 전방 벽과 후방 벽 사이의 제 1 측벽 및 제 2 측벽, 상단 벽, 및 하단 벽에 의해 경계를 이룬다 (bound). 제 1 측벽, 제 2 측벽, 상단 벽, 또는 하단 벽은 2개 이상의 웨이퍼 로드 포트들을 포함하고, 웨이퍼 로드 포트 각각은 FOUP를 각각의 웨이퍼 로드 포트로 이송시키도록 구성된 웨이퍼 이송 시스템으로부터의 FOUP를 수용하도록 구성된다. 전방 벽은 제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트를 포함한다. 제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 EFEM의 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 전방 벽 클러스터 프로세싱 툴의 진공 환경으로부터 EFEM의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 전방 벽 로드 록 및 제 2 전방 벽 로드 록에 부착되도록 구성된다. 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 제 1 후방 벽 웨이퍼 포트를 포함한다. EFEM의 인클로저 내의 적어도 하나의 로봇은 웨이퍼 로드 포트들, 제 1 전방 벽 웨이퍼 포트, 제 2 전방 벽 웨이퍼 포트, 및 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능하다.
프로세싱 모듈들로 그리고 프로세싱 모듈들로부터 반도체 웨이퍼들을 이송시키는데 유용한 EFEM이 본 명세서에 또한 개시된다. EFEM은 내부에 제어된 환경을 갖도록 구성된 인클로저를 포함하고, 인클로저는 전방 벽, 후방 벽, 전방 벽과 후방 벽 사이의 제 1 측벽 및 제 2 측벽, 상단 벽, 및 하단 벽에 의해 경계를 이룬다. 제 1 측벽, 제 2 측벽, 상단 벽, 또는 하단 벽은 2개 이상의 웨이퍼 로드 포트들을 포함하고, 웨이퍼 로드 포트 각각은 FOUP를 각각의 웨이퍼 로드 포트로 이송시키도록 구성된 웨이퍼 이송 시스템으로부터의 FOUP를 수용하도록 구성된다. 전방 벽은 제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트를 포함한다. 제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 EFEM의 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 전방 벽 클러스터 프로세싱 툴의 진공 환경으로부터 EFEM의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 전방 벽 로드 록 및 제 2 전방 벽 로드 록에 부착되도록 구성된다. 제 1 측벽은 적어도 2개의 제 1 측벽 웨이퍼 포트들을 포함하고, 제 2 측벽은 적어도 2개의 제 2 측벽 웨이퍼 포트들을 포함하고, 적어도 2개의 제 1 측벽 웨이퍼 포트들 및 적어도 2개의 제 2 측벽 웨이퍼 포트들 각각은 각각의 반도체 웨이퍼 프로세싱 모듈에 부착되도록 구성된다. EFEM의 인클로저 내의 적어도 하나의 로봇은 웨이퍼 로드 포트들, 제 1 전방 벽 웨이퍼 포트, 제 2 전방 벽 웨이퍼 포트, 적어도 2개의 제 1 측벽 웨이퍼 포트들, 및 적어도 2개의 제 2 측벽 웨이퍼 포트들을 통해 웨이퍼들을 이송시키도록 동작 가능하다.
도 1은 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
도 2는 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
도 3a 내지 도 3c는 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템들의 EFEM들을 도시한다.
도 4는 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
도 5는 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
도 6은 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
도 7은 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템을 도시한다.
다음의 상세한 기술에서, 수많은 구체적인 실시예들이 본 명세서에 개시된 시스템들, 장치들, 및 방법들의 완전한 이해를 제공하기 위해 언급된다. 그러나, 당업자들에게 명백한 바와 같이, 본 실시예들은 이들 구체적인 상세들 없이 또는 대안적인 엘리먼트들 (element) 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 공지된 프로세스들, 절차들, 및/또는 컴포넌트들 (component) 은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 도면들 내에서 유사한 부호들은 유사한 엘리먼트들을 나타낸다. 본 명세서에서 사용된 바와 같이, 용어 “약”은 ± 10 %를 지칭한다.
본 명세서에 개시된 본 실시예들은 반도체 웨이퍼들을 EFEM과 동작 관계에 있는 반도체 웨이퍼 프로세싱 모듈들로 그리고 반도체 웨이퍼 프로세싱 모듈들로부터 이송시키는데 유용한 EFEM을 포함하는 웨이퍼 프로세싱 시스템들을 포함한다. 본 명세서에 개시된 실시예들에 따른, 도 1을 참조하면, 반도체 웨이퍼 (기판) 프로세싱 시스템 (10) 은 클린 룸 (12) 내에 배치될 수 있고, 웨이퍼 프로세싱 시스템 (10) 내부의 대기는 방지되지 않는다면, 웨이퍼 프로세싱 전, 웨이퍼 프로세싱 동안, 및 웨이퍼 프로세싱 후 클린 룸 (12) 의 주변에 웨이퍼의 노출을 최소화하도록 제어된다. 웨이퍼 프로세싱 시스템 (10) 은 EFEM (100) 을 포함한다. EFEM (100) 은 내부에 제어된 환경을 갖도록 구성된 인클로저 (110) 를 포함하고, 인클로저 (110) 는 전방 벽 (120), 후방 벽 (121), 전방 벽 (120) 과 후방 벽 (121) 사이에서 연장하는 제 1 측벽 (122), 전방 벽 (120) 과 후방 벽 (121) 사이에서 연장하는 제 2 측벽 (123), 상단 벽 (미도시), 및 하단 벽 (미도시) 에 의해 경계를 이룬다. 본 명세서에 개시된 바와 같이 제어된 환경들은 필터링된 공기 (예를 들어, 주변 필터링된 공기) 의 환경들 또는 질소와 같은 비활성 가스의 환경들을 포함할 수 있고 제어된 환경은 대기압 또는 진공 압력과 같이 대기압보다 높은 압력 또는 대기압보다 낮은 압력에 있을 수 있다.
제 1 측벽 (122), 제 2 측벽 (123), 상단 벽, 또는 하단 벽은, 2개 이상의 웨이퍼 로드 포트들 (130) 을 포함할 수 있고, 웨이퍼 로드 포트 (130) 각각은 FOUP (135) 를 각각의 웨이퍼 로드 포트 (130) 로 이송하도록 구성된 오버헤드 웨이퍼 이송 시스템 (미도시) 으로부터의 FOUP (135) 를 수용하도록 구성된다. FOUP (135) 는 반도체 웨이퍼들 (예를 들어, 일반적으로 실리콘 웨이퍼들 (Si), 하지만 또한 게르마늄과 같은 원소 반도체 재료들, 또는 갈륨-비소 (GaAs) 또는 인듐 비소 (InAs) 와 같은 화합물 반도체 재료들로부터 형성된 다양한 다른 웨이퍼 타입들을 포함할 수도 있음) 을 홀딩하도록 설계된 플라스틱 인클로저의 일 타입이다. FOUP (135) 는 웨이퍼들 (미도시) 을 FOUP (135) 의 제어된 환경 내에서 단단히 그리고 안전하게 홀딩한다. 웨이퍼 로드 포트 (130) 각각은 웨이퍼 로드 포트 (130) 에 부착된 각각의 FOUP (135) 를 포함할 수 있지만, 웨이퍼 로드 포트 (130) 각각은 웨이퍼 로드 포트 (130) 에 부착된 각각의 FOUP (135) 를 가질 필요가 없다 (즉, 웨이퍼 로드 포트들 (130) 의 일부만이 웨이퍼 로드 포트들 (130) 에 부착된 각각의 FOUP (135) 를 포함할 수도 있음). 일 실시예에서, EFEM (100) 의 제어된 환경은 진공 압력에 있을 수 있고 웨이퍼 로드 포트 (130) 에 부착된 FOUP (135) 는 마찬가지로 진공 압력 하에 있다.
도 1에 예시된 바와 같이, 제 1 측벽 (122) 은 각각의 FOUP (135) 를 각각 수용하도록 구성된 2개의 웨이퍼 로드 포트들 (130) 을 포함하고, 제 2 측벽 (123) 은 각각의 FOUP (135) 를 각각 수용하도록 구성된 2개의 웨이퍼 로드 포트들 (130) 을 포함한다. 전방 벽 (120) 은 제 1 전방 벽 웨이퍼 포트 (140) 및 제 2 전방 벽 웨이퍼 포트 (145) 를 포함한다. 제 1 및 제 2 전방 벽 웨이퍼 포트들 (140, 145) 은 웨이퍼가 EFEM (100) 의 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴 (160) 의 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로 또는 전방 벽 클러스터 프로세싱 툴 (160) 의 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 및 제 2 전방 벽 로드 록들 (141, 146) 에 각각 부착될 수 있다 (즉, 각각의 제 1 및 제 2 전방 벽 로드 록들 (141, 146) 과 인터페이싱함).
EFEM (100) 의 인클로저 (110) 내의 적어도 하나의 로봇 (미도시) 은, 웨이퍼들을 각각의 웨이퍼 로드 포트 (130) 에 부착된 FOUP (135) 로부터 제 1 또는 제 2 전방 벽 로드 록 (141, 146) 으로 이송시키도록 동작 가능하다. 제 1 및/또는 제 2 전방 벽 로드 록들 (141, 146) 은 웨이퍼가 전방 벽 진공 이송 모듈 (148) 로 나중에 이송되게 하기 위해, 진공 펌프가 각각의 로드 록 (141, 146) 내의 압력을 감소시킬 수도 있도록, 제 1 및/또는 제 2 전방 벽 로드 록들 (141, 146) 과 유체로 연통하는 진공 펌프 (미도시) 를 포함할 수 있다. 전방 벽 진공 이송 모듈 (148) 의 진공 이송 로봇 (미도시) 은 웨이퍼를 각각의 제 1 또는 제 2 전방 벽 로드 록 (141, 146) 으로부터 전방 벽 클러스터 프로세싱 툴 (160) 의 하나 이상의 전방 벽 프로세싱 모듈들 (200) 로 이송시키도록 동작 가능하고, 하나 이상의 프로세스 동작들은 각각의 웨이퍼 상에서 수행될 수 있다.
하나 이상의 프로세스 동작들이 웨이퍼 상에서 수행된 후에, 웨이퍼는 전방 벽 진공 이송 모듈 (148) 의 진공 이송 로봇에 의해 제 1 또는 제 2 전방 벽 로드 록 (141, 146) 을 통해 다시 이송될 수도 있고, 각각의 전방 벽 로드 록 (141, 146) 의 내부 내의 압력은 EFEM (100) 의 적어도 하나의 로봇이 웨이퍼를 각각의 제 1 또는 제 2 전방 벽 로드 록 (141, 146) 으로부터 EFEM (100) 의 제어된 환경으로 다시 이송시킬 수도 있도록 증가될 수도 있다. 바람직한 실시예에서, 제 1 전방 벽 로드 록 (141) 은 웨이퍼들을 EFEM (100) 의 제어된 환경으로부터 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로 이송시키는데 전용일 수 있지만, 제 2 전방 벽 로드 록 (146) 이 웨이퍼들을 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송시키는데 전용이거나, 그 반대도 가능하다. 로드 록들, 진공 이송 모듈들, 프로세싱 모듈들, 및 연관된 로봇들을 포함하는 클러스터 프로세싱 툴들의 예시적인 실시예들은, 전체가 참조로서 본 명세서에 인용되는, 공동으로-양도된 미국 특허 제 6,321,134 호 및 제 7,682,462 호에서 발견될 수 있다.
EFEM (100) 의 후방 벽 (121) 은 제 1 후방 벽 웨이퍼 포트 (170) 를 포함한다. 제 1 후방 벽 웨이퍼 포트 (170) 는 후방 벽 클러스터 프로세싱 툴 (190) 과 동작 관계에 있도록 구성된다. 후방 벽 클러스터 프로세싱 툴 (190) 은 필터링된 공기 또는 질소와 같은 비활성 가스의 제어된 환경과 같은, 제어된 환경을 내부에 가진 후방 벽 이송 모듈 (198) 을 포함할 수 있고, 이송 모듈 (198) 은 하나 이상의 후방 벽 프로세싱 모듈들 (205) 과 동작 관계에 있다. EFEM (100) 의 인클로저 내의 적어도 하나의 로봇은, 웨이퍼들을 후방 벽 웨이퍼 포트 (170) 를 통해 후방 벽 이송 모듈 (198) 의 제어된 환경으로 이송시키도록 동작 가능하고, 후방 벽 이송 모듈 (198) 은 웨이퍼들을 하나 이상의 후방 벽 프로세싱 모듈들 (205) 로 이송시키도록 동작 가능하고, 하나 이상의 프로세스 동작들은 각각의 웨이퍼 상에서 수행될 수도 있다. 일 실시예에서, 적어도 하나의 로봇은 웨이퍼들을 연장된 길이 경로 (도 4 참조) 를 따라 제 1 후방 벽 웨이퍼 포트 (170) 를 통해 후방 벽 이송 모듈 (198) 로 이동시키고 웨이퍼들을 후방 벽 클러스터 프로세싱 툴 (190) 의 후방 벽 프로세싱 모듈들 (205) 로 그리고 후방 벽 프로세싱 모듈들 (205) 로부터 이송시키도록 동작 가능한, 선형 로봇을 포함할 수 있다.
전방 벽 프로세싱 모듈들 (200) 및 후방 벽 프로세싱 모듈들 (205) 은, 플라즈마 에칭, ALE (atomic layer etching), PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 레지스트 제거, 인-시츄 계측학, 건식 세정, 습식 웨이퍼 세정, IBE (ion beam etching), 및 습식-에칭 (예를 들어, 화학적 에칭) 과 같은 동작들을 포함하는 웨이퍼 에칭 동작, 재료 증착 동작, 및 웨이퍼 세정 동작과 같은 프로세싱 동작들을 웨이퍼들 상에서 수행할 수 있다. 일 실시예에서, 전방 벽 프로세싱 모듈들 (200) 은 웨이퍼들 상의 재료 증착 동작들 및/또는 웨이퍼 에칭 동작들을 바람직하게 수행하지만, 후방 벽 프로세싱 모듈들 (205) 은 습식 세정 동작들과 같은 웨이퍼 상의 웨이퍼 세정 동작들을 수행한다. 일 실시예에서, 전방 벽 클러스터 프로세싱 툴 (160) 은 최대 6개의 전방 벽 프로세싱 모듈들 (200), 예를 들어, 3개, 4개, 5개, 또는 6개의 전방 벽 프로세싱 모듈들 (200) 을 포함할 수 있고 후방 벽 클러스터 프로세싱 툴은 최대 6개의 후방 벽 프로세싱 모듈들 (205) 을 포함할 수 있다. 따라서, 웨이퍼를 웨이퍼 프로세싱 시스템 (10) 의 제어된 환경으로부터 제거하는 일 없이 웨이퍼들을 전방 벽 클러스터 프로세싱 툴 (160) 및 후방 벽 클러스터 프로세싱 툴 (190) 로 그리고 전방 벽 클러스터 프로세싱 툴 (160) 및 후방 벽 클러스터 프로세싱 툴 (190) 로부터 이송시키도록 동작 가능한 EFEM (100) 의 실시예들은, 복수의 프로세싱 모듈들 (200 및 205) 로 하여금 동작 관계로 정렬하게 하고, 이로써 몇몇의 프로세스 단계들 또는 동작들로 하여금 단일 웨이퍼 프로세싱 시스템 (10) 내에서 국소적으로 실행되게 하고, 이로써 웨이퍼 프로세싱 시스템 (10) 의 활용을 증가시키고, 웨이퍼 프로세싱 시스템 (10) 의 쓰루풋을 증가시키고, 그리고 복수의 프로세스 동작들로서 프로세싱되는 웨이퍼의 사이클 시간을 감소시키는 것은, 추가의 프로세싱 동작들 동안 분리된 별개의 웨이퍼 프로세싱 시스템 (10) 으로 웨이퍼를 이송시키는 일 없이 웨이퍼 프로세싱 시스템 (10) 내의 웨이퍼 상에서 수행될 수 있다.
일 실시예에서, 후방 벽 클러스터 프로세싱 툴의 후방 벽 이송 모듈은 후방 벽 진공 이송 모듈일 수 있다. 이 실시예에서, EFEM의 제 1 후방 벽 웨이퍼 포트는, 웨이퍼가 EFEM의 제어된 환경으로부터 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 후방 벽 클러스터 프로세싱 툴의 진공 환경으로부터 EFEM의 제어된 환경으로 이송되게 동작 가능한, 각각의 제 1 후방 벽 로드 록에 부착될 수 있다. 예를 들어, 도 2는 웨이퍼 프로세싱 시스템 (10) 을 예시하고, EFEM (100) 은 제 1 후방 벽 웨이퍼 포트 (170) 및 제 2 후방 벽 웨이퍼 포트 (175) 를 포함한다. 제 1 및 제 2 후방 벽 웨이퍼 포트들 (170, 175) 은 웨이퍼가 EFEM (100) 의 제어된 환경으로부터 후방 벽 클러스터 프로세싱 툴 (190) 의 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로 또는 후방 벽 클러스터 프로세싱 툴 (190) 의 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 및 제 2 후방 벽 로드 록들 (171, 176) 에 각각 부착될 수 있다.
EFEM (100) 의 인클로저 내의 적어도 하나의 로봇 (미도시) 은, 웨이퍼들을 각각의 웨이퍼 로드 포트 (130) 에 부착된 FOUP (135) 로부터 제 1 또는 제 2 후방 벽 로드 록 (171, 176) 으로 이송시키도록 동작 가능하다. 제 1 및/또는 제 2 후방 벽 로드 록들 (171, 176) 은 웨이퍼가 후방 벽 진공 이송 모듈 (198) 로 나중에 이송되도록 하기 위해, 진공 펌프 (미도시) 가 각각의 로드 록 (171, 176) 내의 압력을 감소시킬 수도 있도록, 제 1 및/또는 제 2 후방 벽 로드 록들 (171, 176) 과 유체로 연통하는 진공 펌프를 포함할 수 있다. 후방 벽 진공 이송 모듈 (198) 의 진공 이송 로봇 (미도시) 은, 웨이퍼를 각각의 제 1 또는 제 2 후방 벽 로드 록 (171, 176) 으로부터 후방 벽 클러스터 프로세싱 툴 (190) 의 하나 이상의 후방 벽 프로세싱 모듈들 (205) 로 이송시키도록 동작 가능하고, 재료 증착 동작 또는 플라즈마 에칭 동작과 같은, 하나 이상의 프로세스 동작들은 각각의 후방 벽 프로세싱 모듈 (205) 내의 각각의 웨이퍼 상에서 수행될 수 있다.
하나 이상의 프로세스 동작들이 웨이퍼 상에서 수행된 후에, 웨이퍼는 후방 벽 진공 이송 모듈 (198) 의 진공 이송 로봇에 의해 제 1 또는 제 2 후방 벽 로드 록 (171, 176) 을 통해 다시 이송될 수도 있고, 각각의 후방 벽 로드 록 (171, 176) 의 내부 내의 압력은, EFEM (100) 의 적어도 하나의 로봇이 웨이퍼를 각각의 제 1 또는 제 2 후방 벽 로드 록 (171, 176) 으로부터 EFEM (100) 의 제어된 환경으로 다시 이송시킬 수도 있도록 증가될 수도 있다. 바람직한 실시예에서, 제 1 후방 벽 로드 록 (171) 은 웨이퍼들을 EFEM (100) 의 제어된 환경으로부터 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로 이송시키는데 전용일 수 있지만, 제 2 후방 벽 로드 록 (176) 은 웨이퍼들을 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송시키는데 전용이거나 그 반대도 가능하다.
일 실시예에서, 전방 벽 로드 록들 (141, 146) 및/또는 후방 벽 로드 록들 (171, 176) 은, 본 명세서에 전체가 참조로서 인용되는, 공동으로-양도된 미국 특허 제 8,430,620 호에 개시된 것들과 같은 적층된 로드 록들일 수 있다. 로드 록들이 적층된다면, 적층된 로드 록들은 EFEM (100) 의 각각의 적층된 웨이퍼 포트들과 동작 관계에 있을 수도 있다. 추가의 실시예에서, 전방 벽 로드 록들 (141, 146) 및/또는 후방 벽 로드 록들 (171, 176) 은 내부에 배치된 웨이퍼 상에서 프로세싱 동작을 수행하도록 동작 가능할 수 있다.
도 3a를 참조하면, EFEM (100) 의 적어도 하나의 로봇은 EFEM (100) 의 인클로저 (110) 내에 제 1 로봇 (180) 및 제 2 로봇 (181) 을 포함할 수 있다. 제 1 로봇 (180) 은 암 (180a) 을 가질 수 있고 제 2 로봇 (181) 은 암 (181a) 을 가질 수 있다. 제 1 로봇 (180) 의 암 (180a) 의 단부 및 제 2 로봇 (181) 의 암 (181a) 의 단부는, 단부 이펙터 (effector), 또는 대안적으로 듀얼 단부 이펙터들을 각각 포함할 수 있다. 단부 이펙터는 로봇 암의 단부에 연결된 디바이스 또는 툴, 예를 들어, 로봇 암이 반도체 웨이퍼를 이송하는 동안 반도체 웨이퍼를 지지하도록 동작 가능한, 블레이드, 패들 (paddle) 또는 그립퍼 (gripper) 이다. 본 명세서에서 사용된 바와 같이, 단부 이펙터는 웨이퍼 또는 다른 기판을 지지하도록 웨이퍼 또는 다른 기판과 물리적으로 콘택하는 임의의 이러한 지지부 또는 디바이스이다. 단부 이펙터들은 그 위에 웨이퍼가 놓일 수도 있는, 블레이드들, 패들들 또는 포크들과 같은 일반적으로 평평한 지지부들, 뿐만 아니라 그립퍼와 같은, 제자리에 웨이퍼를 홀딩하는 디바이스들을 포함한다. 듀얼 단부 이펙터들과 같은 단부 이펙터들, 또는 고온 웨이퍼 또는 저온 웨이퍼 (또는 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼) 중 하나를 이송시키는데 전용인 단부 이펙터들을 포함하는 로봇 암들의 예시적인 실시예들은, 공동으로-양도된 미국 특허 제 8,430,620 호에서 발견될 수 있다.
일 실시예에서, 제 1 로봇 (180) 은 웨이퍼들을 EFEM (100) 의 제 1 측벽 (122) 상에 위치된 각각의 웨이퍼 로드 포트들 (130) 에 부착된 하나 이상의 FOUP들 (135) 로 그리고 하나 이상의 FOUP들 (135) 로부터 제거 및 이송시키도록 동작 가능할 수 있지만, 제 2 로봇 (181) 은 웨이퍼들을 EFEM (100) 의 제 2 측벽 (123) 상에 위치된 각각의 웨이퍼 로드 포트들 (130) 에 부착된 하나 이상의 FOUP들 (135) 로 그리고 하나 이상의 FOUP들 (135) 로부터 제거 및 이송시키도록 동작 가능할 수 있다. 일 실시예에서, 제 1 로봇 (180) 은 웨이퍼들을 웨이퍼 로드 포트 (130) 에 부착된 FOUP (135) 로부터 제거하는데 전용일 수 있고 제 2 로봇 (181) 은 각각의 웨이퍼 로드 포트 (130) 에 부착된 FOUP (135) 내에 웨이퍼들을 저장하는데 전용일 수 있다. 이 실시예에서, 제 1 로봇 (180) 은 프로세싱되지 않은 웨이퍼들을 이송시키는데 전용일 수도 있지만 제 2 로봇 (181) 은 프로세싱된 웨이퍼들을 이송시키는데 전용이다. 대안적인 실시예에서, 제 1 로봇 (180) 은 웨이퍼들을 제 1 또는 제 2 전방 벽 웨이퍼 포트들 (140, 145) 을 통해 이송시키는데 전용일 수 있지만 제 2 로봇 (181) 은 웨이퍼들을 제 1 또는 제 2 후방 벽 웨이퍼 포트들 (170, 175) 을 통해 이송시키는데 전용이다.
일 실시예에서, EFEM (100) 은 EFEM (100) 의 인클로저 (110) 내에 웨이퍼 저장 섹션 (185) 을 포함할 수 있다. 웨이퍼 저장 섹션 (185) 은 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼를 저장하도록 동작 가능하고 제 1 로봇 (180) 및/또는 제 2 로봇 (181) 은 웨이퍼들을 웨이퍼 저장 섹션으로 또는 웨이퍼 저장 섹션으로부터 이송시키도록 동작 가능할 수 있다. 일 실시예에서, EFEM (100) 은 EFEM (100) 의 인클로저 (110) 내에 웨이퍼 얼라이너 (201) 를 포함할 수 있다. 제 1 로봇 (180) 및/또는 제 2 로봇 (181) 은 웨이퍼를 웨이퍼 얼라이너 (201) 로 그리고 웨이퍼 얼라이너 (201) 로부터 이송시키도록 동작 가능할 수 있고, 웨이퍼 얼라이너 (201) 는 웨이퍼가 전방 벽 클러스터 프로세싱 툴 (미도시) 또는 후방 벽 클러스터 프로세싱 툴 (미도시) 의 프로세싱 모듈 (미도시) 로 이송되기 전에 웨이퍼가 중심에 위치될 수도 있도록 회전 방향 및 선형 방사상 방향으로 웨이퍼 이동을 제공하도록 동작 가능하다. 웨이퍼 얼라이너의 예시적인 실시예들은 전체가 참조로서 본 명세서에 인용되는, 공동으로-양도된 미국 특허 제 8,185,242 호에서 발견될 수 있다.
이제 도 3b를 참조하면, EFEM (100) 은 EFEM (100) 의 내부 (110) 내에 제 1 로봇 (180) 을 포함할 수 있고, 제 1 로봇 (180) 은 듀얼 암들 (180a 및 180b) 을 가질 수 있다. 듀얼 암들 (180a 및 180b) 은 듀얼 암들의 각각의 단부에서 단부 이펙터, 또는 대안적으로 듀얼 암들의 각각의 단부들에서 듀얼 단부 이펙터들을 각각 가질 수 있다. 제 1 로봇 (180) 의 듀얼 암들 (180a 및 180b) 은 웨이퍼들을 EFEM (100) 의 제 1 측벽 (122) 또는 EFEM (100) 의 제 2 측벽 (123) 중 하나 상에 위치된 각각의 웨이퍼 로드 포트들 (130) 에 부착된 하나 이상의 FOUP들 (135) 로 또는 하나 이상의 FOUP들 (135) 로부터 제거 및 이송시키도록 동작 가능할 수 있다. 대안적인 실시예에서, 제 1 로봇 (180) 의 암 (180a) 은 웨이퍼들을 EFEM (100) 의 제 1 측벽 (122) 상에 위치된 각각의 웨이퍼 로드 포트들 (130) 에 부착된 하나 이상의 FOUP들 (135) 로 또는 하나 이상의 FOUP들 (135) 로부터 제거 및 이송시키는데 전용일 수 있지만, 제 1 로봇 (180) 의 암 (180b) 은 웨이퍼들을 EFEM (100) 의 제 2 측벽 (123) 상에 위치된 각각의 웨이퍼 로드 포트들 (130) 에 부착된 하나 이상의 FOUP들 (135) 로 그리고 하나 이상의 FOUP들 (135) 로부터 제거 및 이송시키는데 전용일 수 있다.
일 실시예에서, EFEM (100) 의 적어도 하나의 로봇은 웨이퍼들을 전방 벽 (120) 과 후방 벽 (121) 사이에서 연장하는 이동 경로를 따라, 또는 대안적으로 제 1 측벽 (122) 과 제 2 측벽 (123) 사이에서 연장하는 이동 경로를 따라 이동시키도록 동작 가능한 선형 로봇을 포함할 수 있다. 예를 들어, 도 3c에 예시된 바와 같이, EFEM (100) 은 제 1 선형 로봇 (180) 및 제 2 선형 로봇 (181) 을 포함할 수 있고, 제 1 선형 로봇 (180) 은 전방 벽 (120) 과 후방 벽 (121) 사이의 제 1 이동 경로 (182) 를 따라 이동 가능하고 그리고 제 2 선형 로봇 (181) 은 전방 벽 (120) 과 후방 벽 (121) 사이의 제 2 이동 경로 (183) 를 따라 이동 가능하다. 대안적인 실시예에서, 제 1 선형 로봇 (180) 및/또는 제 2 선형 로봇 (181) 은 제 1 측벽 (122) 과 제 2 측벽 (123) 사이의 각각의 이동 경로들을 따라 이동 가능하다.
도 4는 본 명세서에 개시된 실시예들에 따른, 웨이퍼 프로세싱 시스템 (10) 을 예시한다. 웨이퍼 프로세싱 시스템 (10) 은 반도체 웨이퍼들을 EFEM (100) 과 동작 관계인 프로세싱 모듈들로 그리고 프로세싱 모듈들로부터 이송시키는데 유용한 EFEM (100) 을 포함하고, 하나 이상의 프로세스 동작들은 각각의 웨이퍼 상에서 수행된다. EFEM (100) 은 내부에 제어된 환경을 가진 인클로저 (110) 를 포함하고, 인클로저 (110) 는 전방 벽 (120), 후방 벽 (121), 전방 벽 (120) 과 후방 벽 (121) 사이의 제 1 측벽 (122), 전방 벽 (120) 과 후방 벽 (121) 사이의 제 2 측벽 (123), 상단 벽 (미도시), 및 하단 벽 (미도시) 에 의해 경계를 이룬다. 제 1 측벽, 제 2 측벽, 상단 벽, 또는 하단 벽은, 2개 이상의 웨이퍼 로드 포트들 (130) 을 포함할 수 있고, 웨이퍼 로드 포트 (130) 각각은 FOUP (135) 를 EFEM (100) 의 각각의 웨이퍼 로드 포트 (130) 로 이송하도록 구성된 오버헤드 웨이퍼 이송 시스템 (미도시) 으로부터의 FOUP (135) 를 수용하도록 구성된다. 예를 들어, 도 4에 도시된 바와 같이, EFEM (100) 의 제 1 측벽 (122) 은 2개의 웨이퍼 로드 포트들 (130) 을 포함하고 EFEM (100) 의 제 2 측벽 (123) 은 2개의 웨이퍼 로드 포트들 (130) 을 포함한다.
EFEM (100) 의 전방 벽은 제 1 전방 벽 웨이퍼 포트 (140) 및 제 2 전방 벽 웨이퍼 포트 (145) 를 포함한다. 제 1 및 제 2 전방 벽 웨이퍼 포트들 (140, 145) 은 웨이퍼가 EFEM (100) 의 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴 (160) 의 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로 또는 전방 벽 클러스터 프로세싱 툴 (160) 의 전방 벽 진공 이송 모듈 (148) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 및 제 2 전방 벽 로드 록들 (141, 146) 에 부착될 수 있다. EFEM (100) 의 제 1 측벽 (122) 은 적어도 2개의 제 1 측벽 웨이퍼 포트들 (122a) 을 포함하고 제 2 측벽 (123) 은 적어도 2개의 제 2 측벽 웨이퍼 포트들 (123a) 을 포함한다. 적어도 2개의 제 1 측벽 웨이퍼 포트들 (122a) 및 적어도 2개의 제 2 측벽 웨이퍼 포트들 (123a) 은, 예를 들어, 습식 웨이퍼 세정 동작을 수행하도록 동작 가능할 수 있는, 각각의 EFEM 반도체 웨이퍼 프로세싱 모듈 (EFEM 프로세싱 모듈) (105) 에 각각 부착될 수 있다. 습식 웨이퍼 세정 동작의 예시적인 실시예는 전체가 참조로서 본 명세서에 인용되는, 공동으로 양도된 미국 특허 제 8,388,762 호에서 발견될 수 있다.
EFEM (100) 은 웨이퍼들을 웨이퍼 로드 포트들 (130), 제 1 전방 벽 웨이퍼 포트 (140), 제 2 전방 벽 웨이퍼 포트 (145), 적어도 2개의 제 1 측벽 웨이퍼 포트들 (122a), 및 적어도 2개의 제 2 측벽 웨이퍼 포트들 (123a) 을 통해 이송시키도록 동작 가능한 선형 로봇 (180) 과 같은, 적어도 하나의 로봇을 EFEM (100) 의 인클로저 (110) 내에 포함한다. 예를 들어, 도 4에 도시된 바와 같이, EFEM (100) 은 각각의 EFEM 프로세싱 모듈들 (105) 에 각각 직접 부착된 4개의 제 1 측벽 웨이퍼 포트들 (122a) 및 각각의 EFEM 프로세싱 모듈들 (105) 에 각각 직접 부착된 4개의 제 2 측벽 웨이퍼 포트들 (123a) 을 포함하고, 선형 로봇 (180) 은 선형 로봇이 웨이퍼들을 4개의 제 1 측벽 웨이퍼 포트들 (122a), 4개의 제 2 측벽 웨이퍼 포트들 (123a), 웨이퍼 로드 포트들 (130), 제 1 전방 벽 웨이퍼 포트 (140), 제 2 전방 벽 웨이퍼 포트 (145), 및 선택적인 제 1 및/또는 제 2 후방 벽 웨이퍼 포트들 (170, 175) 을 통해 이송시킬 수 있도록 이동 경로 (182) 상에서 이동할 수 있다. EFEM (100) 이 선택적인 후방 벽 웨이퍼 포트들 (170, 175) 을 포함한다면, 후방 벽 웨이퍼 포트들 (170, 175) 은 도 7에 예시된 바와 같이 후방 벽 클러스터 프로세싱 툴 (190) 과 동작 관계에 있을 수 있다. 제 1 및 제 2 후방 벽 웨이퍼 포트들 (170, 175) 은 웨이퍼가 EFEM (100) 의 제어된 환경으로부터 후방 벽 클러스터 프로세싱 툴 (190) 의 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로 또는 후방 벽 클러스터 프로세싱 툴 (190) 의 후방 벽 진공 이송 모듈 (198) 의 진공 환경으로부터 EFEM (100) 의 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 및 제 2 후방 벽 로드 록들 (171, 176) 에 각각 부착될 수 있다. 대안적인 실시예들에서, 도 4 또는 도 7을 참조하면, 제 1 및 제 2 측벽들 (122, 123) 은 각각의 EFEM 프로세싱 모듈 (105) 에 부착되도록 각각 동작 가능한 최대 8개의 각각의 측벽 웨이퍼 포트들 (122a, 123a) 을 각각 포함할 수 있다. 추가로, 일 실시예에서, 2개 이상의 웨이퍼 포트들 (122a, 123a) 은 각각의 EFEM 프로세싱 모듈 (105) 과 연관될 수도 있다. 예를 들어, 2개 이상의 웨이퍼 포트들 (122a, 123a) 은 2개 이상의 행들에 적층될 수 있고, 스택 각각은 각각의 EFEM 프로세싱 모듈 (105) 과 연관되고, 또는 나란한 2개 이상의 웨이퍼 포트들 (122a, 123a) 은 각각의 EFEM 프로세싱 모듈 (105) 과 연관될 수도 있다.
도 5에 예시된 바와 같이 웨이퍼 프로세싱 시스템 (10) 의 일 실시예에서, OHT (overhead wafer transfer) 시스템 (210) 은 FOUP (135) 를 EFEM (100) 의 웨이퍼 포트 (130) 로 이송시키도록 동작 가능하다. OHT 시스템 (210) 은 웨이퍼들이 EFEM (100) 의 적어도 하나의 로봇에 의해 FOUP (135) 로부터 제거될 수도 있도록, FOUP (135) 가 EFEM (100) 의 각각의 웨이퍼 로드 포트 (130) 와 정렬될 수도 있도록 FOUP (135) 를 상승, 하강, 및 회전시키도록 동작 가능하다. 바람직하게, EFEM (100) 의 높이는 OHT 시스템 (210) 이 EFEM (100) 의 전방 벽 (120) 과 후방 벽 (121) 사이의 EFEM (100) 의 상단 벽 위로 FOUP (135) 를 이송시킬 수 있도록 치수가 설정된다.
EFEM (100) 은 EFEM (100) 을 작동시키도록 동작 가능한 전자 장치 인클로저 (150), 및 EFEM (100) 의 인클로저 (110) 의 제어된 환경에 필터링된 공기를 제공하도록 동작 가능한 팬 필터 유닛 (137) 을 포함할 수 있다. 팬 필터 유닛 및 전자 장치 인클로저 (150) 를 포함하는 EFEM의 예시적인 실시예는, 전체가 참조로서 본 명세서에 인용되는, 공동으로 양도된 미국 특허 제 8,282,698 호에서 발견될 수 있다. 일 실시예에서, 제 1 및/또는 제 2 전방 벽 로드 록들 (141, 146) 과 같은, EFEM (100) 의 웨이퍼 포트에 부착된 로드 록은, 이로 제한되지 않지만, 웨이퍼 상의 막의 웨이퍼 보잉 (bow) 또는 두께 (토폴로지) 를 포함하는, 웨이퍼의 특징들이 웨이퍼가 전방 벽 클러스터 프로세싱 툴 (160) 로 이송되기 전 또는 이송된 후에 측정될 수 있도록 내부에 광학적 임계 치수 계측학 툴 (300) 을 포함할 수 있다. 대안적으로, 제 1 및/또는 제 2 후방 벽 로드 록 (171, 176) (도 2 참조) 은 이로 제한되지 않지만, 웨이퍼 상의 임계 치수 측정치 또는 막 두께 측정치를 포함하는 웨이퍼의 특징들을 측정하기 위해 내부에 광학적 임계 치수 계측학 툴 (300) 을 포함할 수 있다. 광학적 임계 치수 계측학 툴들의 예시적인 실시예들은 전체가 참조로서 본 명세서에 인용되는, 공동으로-양도된 미국 특허 제 7,309,718 호 및 제 8,225,683 호에서 발견될 수 있다.
본 명세서에 개시된 웨이퍼 프로세싱 시스템들 (10) 및 관련된 EFEM들 (100) 은, 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안, 및 프로세싱 후에 웨이퍼 프로세싱 시스템들 및 관련된 EFEM들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브부분들 (subpart) 을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 예를 들어, 도 5에 예시된 바와 같이, 웨이퍼 프로세싱 시스템 (10) 및/또는 EFEM (100) 은 전자 장치 인클로저 (150) 및 연관된 제어기 (156) 를 포함한다. 제어기 (156) 는, 웨이퍼 프로세싱 시스템 (10) 의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (156) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어서, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (156) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨 (즉, 웨이퍼 프로세싱 시스템 (10)) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 웨이퍼 프로세싱 시스템들 (10) 은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 장치들 또는 시스템들을 포함하는 프로세싱 챔버들을 포함할 수도 있다.
상술한 바와 같이, 웨이퍼 프로세싱 시스템 (10) 에 의해 수행될 프로세스 단계 또는 단계들에 따라서, EFEM (100) 의 제어기 (156) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 바람직하게, 비-일시적인 컴퓨터 머신-판독 가능한 매체는 웨이퍼 프로세싱 시스템 (10) 의 제어를 위한 프로그램 인스트럭션들을 포함한다.
도 5는 웨이퍼 프로세싱 시스템 (10) 내에서 프로세싱되는 웨이퍼의 경로를 예시한다. 바람직하게, 제어기 (156) 는 웨이퍼가 웨이퍼 프로세싱 시스템 (10) 전체에 걸쳐 이동할 (즉, 웨이퍼 이송 인스트럭션들) 경로를 나타내는 웨이퍼 이송 인스트럭션들을 포함한다. 웨이퍼 프로세싱 시스템에 대한 웨이퍼 이송 인스트럭션들의 세트를 생성하기 위한 컴퓨터-구현된 방법의 예시적인 실시예는, 전체가 참조로서 본 명세서에 인용되는, 공동으로-양도된 미국 특허 제 7,680,559 호에서 발견될 수 있다.
웨이퍼 프로세싱 시스템 (10) 에서 웨이퍼를 프로세싱하는 방법은, 웨이퍼들의 FOUP (135) 를 EFEM (100) 의 웨이퍼 로드 포트 (130) 로 이송시키는 단계를 포함할 수 있다. 이어서 웨이퍼는 EFEM의 적어도 하나의 로봇에 의해 FOUP (135) 로부터 EFEM (100) 의 제어된 환경으로 이송될 수도 있다. 이어서 적어도 하나의 로봇은 웨이퍼를 EFEM (100) 의 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴 (160) 의 진공 환경으로 제 1 또는 제 2 전방 벽 웨이퍼 포트 (140, 141) 를 통해 이송시킬 수도 있고, 웨이퍼는 전방 벽 클러스터 프로세싱 툴 (160) 의 하나 이상의 프로세싱 모듈들 내에서, 재료 증착 또는 플라즈마 에칭과 같은, 하나 이상의 프로세싱 동작들을 겪는다. 예를 들어, 웨이퍼는 각각의 제 1 또는 제 2 전방 벽 로드 록 (141, 146) 으로 이송될 수도 있고, 광학적 임계 치수 계측학 툴 (300) 은 웨이퍼의 특징들을 측정할 수 있지만 각각의 로드 록 (141, 145) 은 웨이퍼가 나중에 전방 벽 클러스터 프로세싱 툴 (160) 의 전방 벽 진공 이송 모듈 (148) 로 이송될 수도 있도록, 웨이퍼의 압력 환경을 감소시키고, 하나 이상의 프로세스 동작들은 각각의 프로세싱 모듈들 (200) 과 동작 관계로 각각의 프로세싱 모듈들 (200) 내에서 수행된다.
이어서 웨이퍼는 전방 벽 클러스터 프로세싱 툴 (160) 로부터 EFEM (100) 의 제어된 환경으로 EFEM (100) 의 제 1 또는 제 2 전방 벽 웨이퍼 포트 (140, 145) 를 통해 이송될 수도 있다. 이어서 웨이퍼는 EFEM (100) 의 적어도 하나의 로봇에 의해 후방 벽 클러스터 프로세싱 툴 (190) 로 EFEM (100) 의 제 1 후방 벽 웨이퍼 포트 (170) 를 통해 이송될 수도 있고 웨이퍼는 후방 벽 클러스터 프로세싱 툴 (190) 의 하나 이상의 후방 벽 프로세싱 모듈들 (205) 내에서 세정 동작과 같은, 하나 이상의 프로세싱 동작들을 겪는다. 웨이퍼가 후방 벽 클러스터 프로세싱 툴 (190) 의 하나 이상의 후방 벽 프로세싱 모듈들 (205) 에 의해 프로세싱된 후에, 웨이퍼는 후방 벽 클러스터 프로세싱 툴 (190) 로부터 EFEM (100) 의 제어된 환경으로 EFEM (100) 의 제 1 후방 벽 웨이퍼 포트 (170) 를 통해 이송될 수도 있다. 프로세싱 동안, 웨이퍼는 1회 이상 전방 벽 클러스터 프로세싱 툴 (160) 과 후방 벽 클러스터 프로세싱 툴 (190) 사이를 EFEM을 통해 앞뒤로 이송될 수도 있다. 프로세싱 후에, 이어서 웨이퍼는 웨이퍼가 웨이퍼 프로세싱 시스템 (10) 으로부터 제거될 수도 있도록, EFEM (100) 의 각각의 웨이퍼 포트 (130) 에 부착된 FOUP (135) 로 복귀될 수도 있다.
도 6은 웨이퍼 프로세싱 시스템 (10) 내의 반도체 웨이퍼 상에서 수행될 수도 있는 프로세싱 동작들의 실시예를 예시한다. 프로세싱 동작들은 웨이퍼를 FOUP로부터 EFEM (100) 을 통해 전방 벽 클러스터 프로세싱 툴 (160) 의 제 1 전방 벽 프로세싱 모듈 (200A) 로 이송시키는 것을 포함할 수 있고, 웨이퍼는 예를 들어, 마스크 개방 동작을 겪는다. 다음에, 웨이퍼는 제 2 전방 벽 프로세싱 모듈 (200B) 로 이송될 수도 있고, 예를 들어, IBE (ion beam etching) 프로세스는 웨이퍼 상에서 수행된다. 이어서 웨이퍼는 제 3 전방 벽 프로세싱 모듈 (200C) 로 이송될 수도 있고, 예를 들어, 스페이서 층이 웨이퍼 상에 증착될 수도 있다. 이어서 웨이퍼는 제 4 전방 벽 프로세싱 모듈 (200D) 로 이송될 수도 있고, 예를 들어, 원자층 에칭 활성화 동작이 수행될 수도 있다. 원자층 에칭 활성화가 수행된 후에, 웨이퍼는 후방 벽 프로세싱 모듈 (205) 이 웨이퍼로부터 재료를 제거하기 위해 원자층 에칭 동작을 수행할 수도 있도록 EFEM (100) 을 통해 후방 벽 클러스터 프로세싱 툴 (190) 로 이송될 수도 있다. 목표된 양의 재료가 웨이퍼로부터 제거될 때까지 원자층 에칭 활성화 동작 및 원자층 에칭 동작이 수행될 수도 있도록, 웨이퍼는 전방 벽 프로세싱 모듈 (200D) 과 후방 벽 프로세싱 모듈 (205) 사이를 EFEM (100) 을 통해 앞뒤로 나중에 이송될 수도 있다. 목표된 양의 재료가 웨이퍼로부터 제거된 후에, 웨이퍼는 EFEM으로부터 각각의 FOUP로 이송될 수도 있고, 웨이퍼는 웨이퍼 프로세싱 시스템 (10) 으로부터 제거될 수 있다.
상술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세하게 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수도 있음이 자명할 것이다. 따라서, 본 실시예들은 예시적인 것이지 제한적인 것으로서 고려되지 않고, 본 명세서에 개시된 바와 같은 본 실시예들은 본 명세서에 주어진 상세 사항들로 제한되지 않지만, 첨부된 청구항들의 범위 및 균등 사항들 내에서 수정될 수도 있다.

Claims (19)

  1. 반도체 웨이퍼 프로세싱 모듈들로 그리고 상기 반도체 웨이퍼 프로세싱 모듈들로부터 반도체 웨이퍼들을 이송시키는데 유용한 EFEM (equipment front end module) 에 있어서,
    인클로저로서, 전방 벽, 후방 벽, 상기 전방 벽과 상기 후방 벽 사이의 제 1 측벽 및 제 2 측벽, 상단 벽, 및 하단 벽에 의해 경계를 이루고 (bound) 상기 인클로저 전반에 단일 제어된 환경을 제공하도록 구성되는, 상기 인클로저;
    2개 이상의 웨이퍼 로드 포트들을 포함하는, 상기 제 1 측벽 또는 상기 제 2 측벽으로서, 상기 웨이퍼 로드 포트 각각은 FOUP (front opening unified pod) 를 각각의 상기 웨이퍼 로드 포트로 이송시키도록 구성된 웨이퍼 이송 시스템으로부터의 상기 FOUP를 수용하도록 구성되는, 상기 제 1 측벽 또는 상기 제 2 측벽;
    제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트를 포함하는 상기 전방 벽으로서, 상기 제 1 전방 벽 웨이퍼 포트 및 상기 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 전방 벽 로드 록 및 제 2 전방 벽 로드 록에 부착되도록 구성되는, 상기 전방 벽;
    제 1 후방 벽 웨이퍼 포트를 포함하는 상기 후방 벽으로서, 상기 제 1 후방 벽 웨이퍼 포트는 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성되는, 상기 후방 벽; 및
    상기 웨이퍼 로드 포트들, 상기 제 1 전방 벽 웨이퍼 포트, 상기 제 2 전방 벽 웨이퍼 포트, 및 상기 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능한, 상기 EFEM의 상기 인클로저 내의 적어도 하나의 로봇을 포함하는, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  2. 제 1 항에 있어서,
    (a) 상기 제 1 후방 벽 웨이퍼 포트는 각각의 제 1 후방 벽 로드 록에 부착되고, 상기 제 1 후방 벽 로드 록은 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능하고;
    (b) 상기 후방 벽은 제 2 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 후방 벽 로드 록 및 제 2 후방 벽 로드 록에 부착되도록 구성되고; 또는
    (c) 상기 후방 벽은 제 2 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 후방 벽 로드 록 및 제 2 후방 벽 로드 록에 부착되는, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  3. 제 1 항에 있어서,
    상기 EFEM은:
    (a) 상기 EFEM의 상기 인클로저 내의 웨이퍼 저장 섹션으로서, 상기 웨이퍼 저장 섹션은 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼를 저장하도록 동작 가능하고, 상기 적어도 하나의 로봇은 웨이퍼들을 상기 웨이퍼 저장 섹션으로 또는 상기 웨이퍼 저장 섹션으로부터 이송시키도록 동작 가능한, 상기 웨이퍼 저장 섹션;
    (b) 상기 EFEM의 상기 인클로저 내의 웨이퍼 얼라이너 (aligner) 로서, 상기 적어도 하나의 로봇은 웨이퍼를 상기 웨이퍼 얼라이너로 그리고 상기 웨이퍼 얼라이너로부터 이송시키도록 동작 가능하고, 상기 웨이퍼 얼라이너는, 상기 웨이퍼가 상기 전방 벽 클러스터 프로세싱 툴 또는 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈로 이송되기 전에 상기 웨이퍼가 중심에 위치될 수도 있도록, 회전 방향 및 선형 방사상 방향으로 웨이퍼 이동을 제공하도록 동작 가능한, 상기 웨이퍼 얼라이너;
    (c) 상기 EFEM의 상기 제어된 환경에 필터링된 공기를 제공하도록 동작 가능한 팬 필터 유닛; 또는
    (d) 상기 EFEM을 작동시키기 위한 전자 장치를 포함한 전자 장치 인클로저;
    중 적어도 하나를 포함하는, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  4. 제 1 항에 있어서,
    (a) 상기 EFEM은 상기 후방 벽 클러스터 프로세싱 툴에 부착되고, 상기 적어도 하나의 로봇은 웨이퍼들을 상기 EFEM의 상기 제어된 환경으로부터 상기 제 1 후방 벽 웨이퍼 포트를 통해 후방 벽 클러스터 프로세싱 툴의 제어된 환경으로 그리고 상기 후방 벽 클러스터 프로세싱 툴의 상기 제어된 환경으로부터 상기 제 1 후방 벽 웨이퍼 포트를 통해 상기 EFEM의 상기 제어된 환경으로 이송시키도록 동작 가능하거나;
    (b) 상기 EFEM은 상기 후방 벽 클러스터 프로세싱 툴에 부착되고, 상기 적어도 하나의 로봇은 선형 로봇을 포함하고, 상기 선형 로봇은 상기 제 1 후방 벽 웨이퍼 포트를 통해 연장하는 연장된 길이 경로를 따라 웨이퍼들을 이동시키고 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈들로 그리고 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈들로부터 웨이퍼들을 이송시키도록 동작 가능하거나;
    (c) 상기 적어도 하나의 로봇은 웨이퍼 로드 포트에 부착된 제 1 FOUP로부터 웨이퍼들을 제거하도록 동작 가능한 제 1 로봇 및 웨이퍼 로드 포트에 부착된 제 2 FOUP 내에 웨이퍼들을 저장하도록 동작 가능한 제 2 로봇을 포함하거나;
    (d) 상기 적어도 하나의 로봇은 상기 제 1 측벽과 상기 제 2 측벽 사이의 이동 경로를 따라 이동 가능하거나; 또는
    (e) 상기 적어도 하나의 로봇은 상기 전방 벽과 상기 후방 벽 사이의 이동 경로를 따라 이동 가능한, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  5. 프로세싱 모듈들로 그리고 상기 프로세싱 모듈들로부터 반도체 웨이퍼들을 이송시키는데 유용한 EFEM에 있어서,
    인클로저로서, 전방 벽, 후방 벽, 상기 전방 벽과 상기 후방 벽 사이의 제 1 측벽 및 제 2 측벽, 상단 벽, 및 하단 벽에 의해 경계를 이루고 상기 인클로저 전반에 단일 제어된 환경을 제공하도록 구성되는, 상기 인클로저;
    2개 이상의 웨이퍼 로드 포트들을 포함하는, 상기 제 1 측벽 또는 상기 제 2 측벽으로서, 상기 웨이퍼 로드 포트 각각은 FOUP를 각각의 상기 웨이퍼 로드 포트로 이송시키도록 구성된 웨이퍼 이송 시스템으로부터의 상기 FOUP를 수용하도록 구성되는, 상기 제 1 측벽 또는 상기 제 2 측벽;
    제 1 전방 벽 웨이퍼 포트 및 제 2 전방 벽 웨이퍼 포트를 포함하는 상기 전방 벽으로서, 상기 제 1 전방 벽 웨이퍼 포트 및 상기 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 전방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 전방 벽 로드 록 및 제 2 전방 벽 로드 록에 부착되도록 구성되는, 상기 전방 벽;
    적어도 2개의 제 1 측벽 웨이퍼 포트들을 포함하는 상기 제 1 측벽 및 적어도 2개의 제 2 측벽 웨이퍼 포트들을 포함하는 상기 제 2 측벽으로서, 상기 적어도 2개의 제 1 측벽 웨이퍼 포트들 및 상기 적어도 2개의 제 2 측벽 웨이퍼 포트들 각각은 각각의 반도체 웨이퍼 프로세싱 모듈에 부착되도록 구성된, 상기 제 1 측벽 및 상기 제 2 측벽; 및
    상기 웨이퍼 로드 포트들, 상기 제 1 전방 벽 웨이퍼 포트, 상기 제 2 전방 벽 웨이퍼 포트, 상기 적어도 2개의 제 1 측벽 웨이퍼 포트들, 및 상기 적어도 2개의 제 2 측벽 웨이퍼 포트들을 통해 웨이퍼들을 이송시키도록 동작 가능한, 상기 EFEM의 상기 인클로저 내의 적어도 하나의 로봇을 포함하는, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  6. 제 5 항에 있어서,
    (a) 상기 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 제 1 후방 벽 웨이퍼 포트를 포함하고, 상기 EFEM의 상기 인클로저 내의 상기 적어도 하나의 로봇은 상기 제 1 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능하거나;
    (b) 상기 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 제 1 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트는 각각의 제 1 후방 벽 로드 록에 부착되고, 상기 제 1 후방 벽 로드 록은, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능하고, 상기 EFEM의 상기 인클로저 내의 상기 적어도 하나의 로봇은 상기 제 1 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능하거나;
    (c) 상기 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 제 1 후방 벽 웨이퍼 포트 및 제 2 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 제어된 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 후방 벽 로드 록 및 제 2 후방 벽 로드 록에 부착되도록 구성되고, 상기 EFEM의 상기 인클로저 내의 상기 적어도 하나의 로봇은 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능하거나;
    (d) 상기 후방 벽은 후방 벽 클러스터 프로세싱 툴과 동작 관계에 있도록 구성된 제 1 후방 벽 웨이퍼 포트 및 제 2 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 제어된 환경으로 또는 상기 후방 벽 클러스터 프로세싱 툴의 상기 제어된 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 제 1 후방 벽 로드 록 및 제 2 후방 벽 로드 록에 부착되고, 상기 EFEM의 상기 인클로저 내의 상기 적어도 하나의 로봇은 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트를 통해 웨이퍼들을 이송시키도록 동작 가능한, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  7. 제 5 항에 있어서,
    (a) 상기 적어도 하나의 로봇은 선형 로봇을 포함하고, 상기 선형 로봇은 상기 EFEM의 상기 인클로저 내의 연장된 길이 경로를 따라 웨이퍼들을 이동시키고 상기 제 1 측벽 웨이퍼 포트들 및 상기 제 2 측벽 웨이퍼 포트들을 통해 웨이퍼들을 이송시키도록 동작 가능하거나;
    (b) 상기 EFEM은 상기 EFEM의 상기 인클로저 내에 웨이퍼 저장 섹션을 포함하고, 상기 웨이퍼 저장 섹션은 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼를 저장하도록 동작 가능하고, 상기 적어도 하나의 로봇은 상기 웨이퍼 저장 섹션으로 또는 상기 웨이퍼 저장 섹션으로부터 웨이퍼들을 이송시키도록 동작 가능하거나;
    (c) 상기 적어도 하나의 로봇은 웨이퍼 로드 포트에 부착된 제 1 FOUP로부터 웨이퍼들을 제거하도록 구성된 제 1 로봇 및 웨이퍼 로드 포트에 부착된 제 2 FOUP 내에 웨이퍼들을 저장하도록 동작 가능한 제 2 로봇을 포함하거나;
    (d) 상기 적어도 하나의 로봇은 상기 제 1 측벽과 상기 제 2 측벽 사이의 이동 경로를 따라 이동 가능하거나;
    (e) 상기 적어도 하나의 로봇은 상기 전방 벽과 상기 후방 벽 사이의 이동 경로를 따라 이동 가능하거나;
    (f) 상기 EFEM은 상기 EFEM의 인클로저 내에 웨이퍼 얼라이너를 포함하고, 상기 적어도 하나의 로봇은 상기 웨이퍼 얼라이너로 그리고 상기 웨이퍼 얼라이너로부터 웨이퍼를 이송시키도록 동작 가능하고, 상기 웨이퍼 얼라이너는, 상기 웨이퍼가 상기 전방 벽 클러스터 프로세싱 툴의 프로세싱 모듈 또는 상기 제 1 측벽 웨이퍼 포트 또는 상기 제 2 측벽 웨이퍼 포트에 부착된 각각의 반도체 웨이퍼 프로세싱 모듈로 이송되기 전에 상기 웨이퍼가 중심에 위치될 수도 있도록, 회전 방향 및 선형 방사상 방향으로 웨이퍼 이동을 제공하도록 동작 가능하거나;
    (g) 상기 EFEM은 상기 EFEM의 상기 제어된 환경에 필터링된 공기를 제공하도록 동작 가능한 팬 필터 유닛을 포함하거나; 또는
    (h) 각각의 반도체 웨이퍼 프로세싱 모듈은 상기 적어도 2개의 제 1 측벽 웨이퍼 포트들 및 상기 적어도 2개의 제 2 측벽 웨이퍼 포트들 중 적어도 하나의 각각의 측벽 웨이퍼 포트에 부착되는, 반도체 웨이퍼들을 이송시키는데 유용한 EFEM.
  8. 제 1 항에 기재된 상기 EFEM을 포함하는 웨이퍼 프로세싱 시스템으로서,
    상기 제 1 전방 벽 웨이퍼 포트 및 상기 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 또는 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 상기 제 1 전방 벽 로드 록 및 상기 제 2 전방 벽 로드 록에 각각 부착되는, 웨이퍼 프로세싱 시스템.
  9. 제 8 항에 있어서,
    (a) 상기 제 1 후방 벽 웨이퍼 포트는 각각의 제 1 후방 벽 로드 록과 동작 관계에 있고, 상기 제 1 후방 벽 로드 록은 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 이송되게 동작 가능하거나;
    (b) 상기 후방 벽은 제 2 후방 벽 웨이퍼 포트를 포함하고, 상기 제 1 후방 벽 웨이퍼 포트 및 상기 제 2 후방 벽 웨이퍼 포트는 각각의 제 1 후방 벽 로드 록 및 제 2 후방 벽 로드 록과 동작 관계에 있고, 상기 제 1 후방 벽 로드 록 및 상기 제 2 후방 벽 로드 록 각각은, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 후방 벽 클러스터 프로세싱 툴의 진공 환경으로 그리고 상기 후방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능하거나;
    (c) 상기 EFEM은 상기 후방 벽 클러스터 프로세싱 툴에 부착되고, 상기 적어도 하나의 로봇은 웨이퍼들을 상기 EFEM의 상기 제어된 환경으로부터 상기 제 1 후방 벽 웨이퍼 포트를 통해 후방 벽 클러스터 프로세싱 툴의 제어된 환경으로 그리고 상기 후방 벽 클러스터 프로세싱 툴의 상기 제어된 환경으로부터 상기 제 1 후방 벽 웨이퍼 포트를 통해 상기 EFEM의 상기 제어된 환경으로 이송시키도록 동작 가능한, 웨이퍼 프로세싱 시스템.
  10. 제 8 항에 있어서,
    상기 EFEM은:
    (a) 상기 제 1 측벽 내의 2개 이상의 웨이퍼 로드 포트들 및 상기 제 2 측벽 내의 2개 이상의 웨이퍼 로드 포트들;
    (b) 내부에 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼를 저장하도록 동작 가능한 상기 EFEM의 상기 인클로저 내의 웨이퍼 저장 섹션으로서, 상기 적어도 하나의 로봇은 웨이퍼들을 상기 웨이퍼 저장 섹션으로 또는 상기 웨이퍼 저장 섹션으로부터 이송시키도록 동작 가능한, 상기 웨이퍼 저장 섹션;
    (c) 상기 EFEM의 상기 인클로저 내의 웨이퍼 얼라이너로서, 상기 적어도 하나의 로봇은 웨이퍼를 상기 웨이퍼 얼라이너로 그리고 상기 웨이퍼 얼라이너로부터 이송시키도록 동작 가능하고, 상기 웨이퍼 얼라이너는, 상기 웨이퍼가 상기 전방 벽 클러스터 프로세싱 툴 또는 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈로 이송되기 전에 상기 웨이퍼가 중심에 위치될 수도 있도록, 회전 방향 및 선형 방사상 방향으로 웨이퍼 이동을 제공하도록 동작 가능한, 상기 웨이퍼 얼라이너;
    (d) 상기 EFEM의 상기 제어된 환경에 필터링된 공기를 제공하도록 동작 가능한 팬 필터 유닛; 또는
    (e) 상기 EFEM을 작동시키기 위한 전자 장치를 포함한 전자 장치 인클로저;
    중 적어도 하나를 포함하는, 웨이퍼 프로세싱 시스템.
  11. 제 8 항에 있어서,
    (a) 상기 후방 벽 클러스터 프로세싱 툴은 상기 후방 벽 클러스터 프로세싱 툴의 이송 모듈 내에 연장된 길이 경로 및 선형 로봇을 포함하고, 상기 선형 로봇은 상기 연장된 길이 경로를 따라 웨이퍼들을 이동시키고 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈들로 그리고 상기 후방 벽 클러스터 프로세싱 툴의 프로세싱 모듈들로부터 웨이퍼들을 이송시키도록 동작 가능하고; 그리고/또는
    (b) 상기 전방 벽 클러스터 프로세싱 툴은 전방 벽 진공 이송 모듈 및 상기 진공 이송 모듈과 동작 관계인 하나 이상의 프로세스 모듈들을 포함하고, 상기 후방 벽 클러스터 프로세싱 툴은 내부에 제어된 환경을 가진 후방 벽 이송 모듈 및 상기 후방 벽 이송 모듈과 동작 관계인 하나 이상의 프로세스 모듈들을 포함하고; 또는 상기 전방 벽 클러스터 프로세싱 툴은 전방 벽 진공 이송 모듈 및 상기 진공 이송 모듈과 동작 관계인 하나 이상의 프로세스 모듈들을 포함하고, 상기 후방 벽 클러스터 프로세싱 툴은 내부에 제어된 환경을 가진 후방 벽 이송 모듈 및 상기 후방 벽 이송 모듈과 동작 관계인 하나 이상의 프로세스 모듈들을 포함하는 것 중 하나인, 웨이퍼 프로세싱 시스템.
  12. 제 8 항에 있어서,
    (a) 시스템에 의해 수행된 프로세스들을 제어하도록 구성된 제어기;
    (b) 상기 시스템의 제어를 위한 프로그램 인스트럭션들을 포함하는 비-일시적인 컴퓨터 머신-판독 가능한 매체;
    (c) OHT (overhead wafer transfer) 시스템으로서, 상기 EFEM의 높이는 상기 OHT 시스템이 FOUP를 상기 EFEM의 상기 전방 벽과 상기 후방 벽 사이의 상기 EFEM의 상기 상단 벽 위로 이송시킬 수 있도록 치수가 설정되고; 상기 OHT 시스템은 상기 FOUP가 상기 EFEM의 각각의 웨이퍼 로드 포트와 정렬될 수도 있도록 상기 FOUP를 상승, 하강, 및 회전시키도록 동작 가능한, 상기 OHT 시스템; 또는
    (d) 상기 제 1 전방 벽 로드 록 또는 상기 제 2 전방 벽 로드 록 내에 포함된 광학적 임계 치수 계측학 툴;
    중 적어도 하나를 더 포함하는, 웨이퍼 프로세싱 시스템.
  13. 제 5 항에 기재된 상기 EFEM을 포함하는 웨이퍼 프로세싱 시스템으로서,
    상기 제 1 전방 벽 웨이퍼 포트 및 상기 제 2 전방 벽 웨이퍼 포트는, 웨이퍼가 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 또는 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로부터 상기 EFEM의 상기 제어된 환경으로 이송되게 동작 가능한 각각의 상기 제 1 전방 벽 로드 록 및 상기 제 2 전방 벽 로드 록에 각각 부착되는, 웨이퍼 프로세싱 시스템.
  14. 제 13 항에 있어서,
    (a) 상기 적어도 하나의 로봇은 선형 로봇을 포함하고, 상기 선형 로봇은 상기 EFEM의 상기 인클로저 내의 연장된 길이 경로를 따라 웨이퍼들을 이동시키고 상기 제 1 측벽 웨이퍼 포트들 및 상기 제 2 측벽 웨이퍼 포트들을 통해 웨이퍼들을 이송시키도록 동작 가능하거나;
    (b) 상기 EFEM은 상기 EFEM의 상기 인클로저 내에 웨이퍼 저장 섹션을 포함하고, 상기 웨이퍼 저장 섹션은 프로세싱된 웨이퍼 또는 프로세싱되지 않은 웨이퍼를 저장하도록 동작 가능하고, 상기 적어도 하나의 로봇은 상기 웨이퍼 저장 섹션으로 또는 상기 웨이퍼 저장 섹션으로부터 웨이퍼들을 이송시키도록 동작 가능하거나;
    (c) 상기 적어도 하나의 로봇은 웨이퍼 로드 포트에 부착된 제 1 FOUP로부터 웨이퍼들을 제거하도록 구성된 제 1 로봇 및 웨이퍼 로드 포트에 부착된 제 2 FOUP 내에 웨이퍼들을 저장하도록 동작 가능한 제 2 로봇을 포함하거나;
    (d) 상기 적어도 하나의 로봇은 상기 제 1 측벽과 상기 제 2 측벽 사이의 이동 경로를 따라 이동 가능하거나;
    (e) 상기 적어도 하나의 로봇은 상기 전방 벽과 상기 후방 벽 사이의 이동 경로를 따라 이동 가능하거나;
    (f) 상기 EFEM은 상기 EFEM의 인클로저 내에 웨이퍼 얼라이너를 포함하고, 상기 적어도 하나의 로봇은 상기 웨이퍼 얼라이너로 그리고 상기 웨이퍼 얼라이너로부터 웨이퍼를 이송시키도록 동작 가능하고, 상기 웨이퍼 얼라이너는, 상기 웨이퍼가 상기 전방 벽 클러스터 프로세싱 툴의 프로세싱 모듈 또는 상기 제 1 측벽 웨이퍼 포트 또는 상기 제 2 측벽 웨이퍼 포트에 부착된 각각의 반도체 웨이퍼 프로세싱 모듈로 이송되기 전에 상기 웨이퍼가 중심에 위치될 수도 있도록, 회전 방향 및 선형 방사상 방향으로 웨이퍼 이동을 제공하도록 동작 가능하거나;
    (g) 상기 EFEM은 상기 EFEM의 상기 제어된 환경에 필터링된 공기를 제공하도록 동작 가능한 팬 필터 유닛을 포함하거나; 또는
    (h) 각각의 반도체 웨이퍼 프로세싱 모듈은 상기 적어도 2개의 제 1 측벽 웨이퍼 포트들 및 상기 적어도 2개의 제 2 측벽 웨이퍼 포트들 중 적어도 하나의 각각의 측벽 웨이퍼 포트에 부착되는, 웨이퍼 프로세싱 시스템.
  15. 제 13 항에 있어서,
    (a) 시스템에 의해 수행된 프로세스들을 제어하도록 구성된 제어기;
    (b) 상기 시스템의 제어를 위한 프로그램 인스트럭션들을 포함하는 비-일시적인 컴퓨터 머신-판독 가능한 매체;
    (c) OHT 시스템으로서, 상기 EFEM의 높이는 상기 OHT 시스템이 FOUP를 상기 EFEM의 상기 전방 벽과 상기 후방 벽 사이의 상기 EFEM의 상기 상단 벽 위로 이송시킬 수 있도록 치수가 설정되고; 상기 OHT 시스템은 상기 FOUP가 상기 EFEM의 각각의 웨이퍼 로드 포트와 정렬될 수도 있도록 상기 FOUP를 상승, 하강, 및 회전시키도록 동작 가능한, 상기 OHT 시스템; 또는
    (d) 상기 제 1 전방 벽 로드 록 또는 상기 제 2 전방 벽 로드 록 내에 포함된 광학적 임계 치수 계측학 툴;
    중 적어도 하나를 더 포함하는, 웨이퍼 프로세싱 시스템.
  16. 제 8 항에 기재된 상기 시스템 내에서 웨이퍼를 프로세싱하는 방법에 있어서,
    상기 방법은:
    (a) 상기 웨이퍼를 FOUP로부터 상기 EFEM의 상기 제어된 환경으로 이송시키는 단계;
    (b) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 상기 제 1 전방 벽 웨이퍼 포트 또는 상기 제 2 전방 벽 웨이퍼 포트를 통해 이송시키는 단계로서, 상기 웨이퍼는 상기 전방 벽 클러스터 프로세싱 툴의 하나 이상의 프로세싱 모듈들 내에서 하나 이상의 프로세싱 동작들을 겪는, 상기 웨이퍼를 이송시키는 단계;
    (c) 상기 웨이퍼를 상기 전방 벽 클러스터 프로세싱 툴로부터 상기 EFEM의 상기 제어된 환경으로 상기 EFEM의 상기 제 1 전방 벽 웨이퍼 포트 또는 상기 제 2 전방 벽 웨이퍼 포트를 통해 이송시키는 단계;
    (d) 상기 웨이퍼를 상기 후방 벽 클러스터 프로세싱 툴로 상기 EFEM의 상기 제 1 후방 벽 웨이퍼 포트를 통해 이송시키는 단계로서, 상기 웨이퍼는 상기 후방 벽 클러스터 프로세싱 툴의 하나 이상의 프로세싱 모듈들 내에서 하나 이상의 프로세싱 동작들을 겪는, 상기 웨이퍼를 이송시키는 단계; 및
    (e) 상기 웨이퍼를 상기 후방 벽 클러스터 프로세싱 툴로부터 상기 EFEM의 상기 제어된 환경으로 상기 EFEM의 상기 제 1 후방 벽 웨이퍼 포트를 통해 이송시키는 단계를 포함하고; 그리고
    상기 단계 (b) 내지 상기 단계 (e) 는 1회 이상 수행되는, 웨이퍼를 프로세싱하는 방법.
  17. 제 16 항에 있어서,
    (a) 상기 웨이퍼를 상기 EFEM의 웨이퍼 저장 섹션으로 이송시키는 단계;
    (b) 상기 웨이퍼를 웨이퍼 얼라이너로 이송시키고 상기 웨이퍼 얼라이너 상에서 상기 웨이퍼를 중심에 위치시키는 단계;
    (c) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 이송시키는 동안, 상기 제 1 전방 벽 로드 록 또는 상기 제 2 전방 벽 로드 록 내의 상기 웨이퍼 상에서 광학적 임계 치수 계측학 프로세스를 수행하는 단계; 또는
    (d) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 EFEM의 웨이퍼 로드 포트에서 수용된 FOUP로 이송시키는 단계;
    중 적어도 하나를 더 포함하는, 웨이퍼를 프로세싱하는 방법.
  18. 제 13 항에 기재된 상기 시스템 내에서 웨이퍼를 프로세싱하는 방법으로서,
    상기 방법은:
    (a) 상기 웨이퍼를 FOUP로부터 상기 EFEM의 제어된 환경으로 이송시키는 단계;
    (b) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 진공 환경으로 상기 제 1 전방 벽 웨이퍼 포트 또는 상기 제 2 전방 벽 웨이퍼 포트를 통해 이송시키는 단계로서, 상기 웨이퍼는 상기 전방 벽 클러스터 프로세싱 툴의 하나 이상의 프로세싱 모듈들 내에서 하나 이상의 프로세싱 동작들을 겪는, 상기 웨이퍼를 이송시키는 단계;
    (c) 상기 웨이퍼를 상기 전방 벽 클러스터 프로세싱 툴로부터 상기 EFEM의 상기 제어된 환경으로 상기 EFEM의 상기 제 1 전방 벽 웨이퍼 포트 또는 상기 제 2 전방 벽 웨이퍼 포트를 통해 이송시키는 단계;
    (d) 상기 웨이퍼를 상기 적어도 2개의 제 1 측벽 웨이퍼 포트들 또는 상기 적어도 2개의 제 2 측벽 웨이퍼 포트들 중 하나를 통해 상기 전방 벽 클러스터 프로세싱 툴의 각각의 프로세싱 모듈로 이송시키는 단계로서, 프로세싱 동작은 하나 이상의 프로세싱 모듈들 내에서 수행되는, 상기 웨이퍼를 이송시키는 단계; 및
    (e) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로 다시 이송시키는 단계를 포함하고, 그리고
    상기 단계 (b) 내지 상기 단계 (e) 는 1회 이상 수행되거나, 상기 단계 (d) 내지 상기 단계 (e) 는 1회 이상 수행되는, 웨이퍼를 프로세싱하는 방법.
  19. 제 18 항에 있어서,
    (a) 상기 웨이퍼를 상기 EFEM의 웨이퍼 저장 섹션으로 이송시키는 단계;
    (b) 상기 웨이퍼를 웨이퍼 얼라이너로 이송시키고 상기 웨이퍼 얼라이너 상에서 상기 웨이퍼를 중심에 위치시키는 단계;
    (c) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 전방 벽 클러스터 프로세싱 툴의 상기 진공 환경으로 이송시키는 동안, 상기 제 1 전방 벽 로드 록 또는 상기 제 2 전방 벽 로드 록 내의 상기 웨이퍼 상에서 광학적 임계 치수 계측학 프로세스를 수행하는 단계; 또는
    (d) 상기 웨이퍼를 상기 EFEM의 상기 제어된 환경으로부터 상기 EFEM의 웨이퍼 로드 포트에서 수용된 FOUP로 이송시키는 단계;
    중 적어도 하나를 더 포함하는, 웨이퍼를 프로세싱하는 방법.
KR1020150144866A 2014-10-17 2015-10-16 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법 KR102463977B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,623 2014-10-17
US14/517,623 US9818633B2 (en) 2014-10-17 2014-10-17 Equipment front end module for transferring wafers and method of transferring wafers

Publications (2)

Publication Number Publication Date
KR20160045610A KR20160045610A (ko) 2016-04-27
KR102463977B1 true KR102463977B1 (ko) 2022-11-04

Family

ID=55749620

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150144866A KR102463977B1 (ko) 2014-10-17 2015-10-16 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법

Country Status (4)

Country Link
US (1) US9818633B2 (ko)
KR (1) KR102463977B1 (ko)
CN (1) CN105529293B (ko)
TW (1) TWI685909B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP7275039B2 (ja) * 2017-03-15 2023-05-17 ラム リサーチ コーポレーション リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
KR102423761B1 (ko) * 2017-06-23 2022-07-20 어플라이드 머티어리얼스, 인코포레이티드 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
CN109994401B (zh) * 2017-12-29 2021-07-23 长鑫存储技术有限公司 半导体设备前端模块、半导体设备及晶圆处理方法
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN111742400A (zh) * 2018-02-15 2020-10-02 朗姆研究公司 移动衬底传送室
CN108389814B (zh) * 2018-03-02 2020-06-12 爱佩克斯(北京)科技有限公司 一种旋干机用带有引导架的治具
JP6897865B2 (ja) * 2018-03-27 2021-07-07 村田機械株式会社 搬送システム、搬送コントローラ、及び、搬送車の制御方法
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
US11348816B2 (en) * 2018-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for die container warehousing
CN111092039B (zh) * 2019-12-30 2022-04-15 武汉大学 一种晶片传输系统
KR20230037350A (ko) 2021-09-09 2023-03-16 삼성전자주식회사 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012231117A (ja) 2011-04-11 2012-11-22 Hitachi Kokusai Electric Inc 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US20140086720A1 (en) 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US6153524A (en) 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US7278812B2 (en) * 1999-01-27 2007-10-09 Shinko Electric Co., Ltd. Conveyance system
US6543981B1 (en) 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US7309618B2 (en) 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7680559B2 (en) 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7536538B1 (en) 2005-03-31 2009-05-19 Lam Research Corporation Cluster tools for processing substrates using at least a key file
US7604449B1 (en) 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
CN100383949C (zh) * 2005-12-16 2008-04-23 北京圆合电子技术有限责任公司 一种半导体晶片加工的传输平台
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
WO2008116222A2 (en) 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US7798388B2 (en) 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8317934B2 (en) 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
US20140119858A1 (en) 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012231117A (ja) 2011-04-11 2012-11-22 Hitachi Kokusai Electric Inc 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US20140086720A1 (en) 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer

Also Published As

Publication number Publication date
TW201626494A (zh) 2016-07-16
TWI685909B (zh) 2020-02-21
CN105529293A (zh) 2016-04-27
KR20160045610A (ko) 2016-04-27
CN105529293B (zh) 2020-10-02
US20160111309A1 (en) 2016-04-21
US9818633B2 (en) 2017-11-14

Similar Documents

Publication Publication Date Title
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
JP6957667B2 (ja) 基板処理のための混合プラットフォームの装置、システム、及び方法
KR102577199B1 (ko) 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
US10559483B2 (en) Platform architecture to improve system productivity
KR20210071094A (ko) 워크피스 처리를 위한 시스템 및 방법
JP7175151B2 (ja) 搬送方法
KR20230066455A (ko) 프로세싱 후 기판을 세정하기 위한 방법 및 장치
KR101550526B1 (ko) 클러스터형 반도체 제조장치 및 이를 이용한 반도체 소자 제조방법
JP2023516065A (ja) 基板処理ツール用の直線配置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant