CN105529293A - 用于传送晶片的设备前端模块以及传送晶片的方法 - Google Patents

用于传送晶片的设备前端模块以及传送晶片的方法 Download PDF

Info

Publication number
CN105529293A
CN105529293A CN201510672713.7A CN201510672713A CN105529293A CN 105529293 A CN105529293 A CN 105529293A CN 201510672713 A CN201510672713 A CN 201510672713A CN 105529293 A CN105529293 A CN 105529293A
Authority
CN
China
Prior art keywords
wafer
rear wall
efem
port
antetheca
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510672713.7A
Other languages
English (en)
Other versions
CN105529293B (zh
Inventor
索斯藤·利尔
瓦希德·瓦赫迪
坎迪·克里斯托弗森
安德鲁·D·贝利三世
沈美华
朗格西·拉加万
盖理·布尔特曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105529293A publication Critical patent/CN105529293A/zh
Application granted granted Critical
Publication of CN105529293B publication Critical patent/CN105529293B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67356Closed carriers specially adapted for containing chips, dies or ICs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明总体上涉及用于传送晶片的设备前端模块以及传送晶片的方法。一种用于往来于晶片处理模块传送晶片的EFEM包括外壳,该外壳在内部具有受控环境,该受控环境由前壁、后壁、第一和第二侧壁、顶壁和底壁限定。第一侧壁和第二侧壁包括两个或更多个晶片装载端口,其中每个晶片装载端口适于接收FOUP。所述前壁包括晶片端口,该晶片端口配置成附连到相应的装载锁,该装载锁可操作以使晶片能被传送到前壁集群处理工具。后壁包括晶片端口,该晶片端口适于与后壁集群处理工具成操作关系。在EFEM外壳内的机械手可操作以传送晶片通过晶片装载端口、第一前壁晶片端口、第二前壁晶片端口以及后壁晶片端口。

Description

用于传送晶片的设备前端模块以及传送晶片的方法
技术领域
本发明涉及晶片处理系统,并可发现在晶片处理系统的设备前端模块内的特定用途。
背景技术
不同类型的工具用于在晶片处理系统内在晶片(即半导体衬底)处理期间执行数百个处理操作。这些操作中的大多数是在晶片处理系统的真空室(即,处理室)内在非常低的压力下进行。其他操作是在过滤空气或惰性气体的受控环境内在大气压下进行。晶片被利用晶片处理系统引入到处理室,该晶片处理系统被机械地耦合到相应的处理室。晶片处理系统从工厂地面传送晶片到处理室。晶片处理系统可以包括例如设备前端模块(EFEM)以及装载锁,该设备前端模块可操作以从正面开口标准箱(FOUP)传送晶片到晶片处理系统用于处理并且将晶片带回到FOUP,装载锁将晶片从大气条件带至非常低的压力条件下(例如,真空条件)并返回到大气条件,其中机械手将晶片传送到晶片处理系统中的不同位置。吞吐量(即,在一时间段内处理的晶片数量)是受以下影响:晶片处理时间、在给定时间进行处理的晶片数量以及引入晶片进入真空室内的步骤时序。因此,需要增加吞吐量的改进的方法和装置。
发明内容
本发明公开了一种设备前端模块(EFEM),其用于往来于半导体晶片处理模块传送半导体晶片。所述EFEM包括:外壳,其适于具有在其中的受控环境,其中所述外壳由前壁、后壁、介于所述前壁和所述后壁之间的第一侧壁和第二侧壁、顶壁和底壁限定。所述第一侧壁、所述第二侧壁、所述顶壁或所述底壁包括两个或多个晶片装载端口,其中每个晶片装载端口适于从晶片传送系统接收正面开口标准箱(FOUP),所述晶片传送系统被配置成传送FOUP到相应的晶片装载端口。所述前壁包括第一前壁晶片端口和第二前壁晶片端口。所述第一和第二前壁晶片端口被配置成附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境。所述后壁包括第一后壁晶片端口,所述后壁晶片端口适于与后壁集群处理工具成操作关系。在所述EFEM的所述外壳中的至少一个机械手能操作以传送晶片通过所述晶片装载端口、所述第一前壁晶片端口、所述第二前壁晶片端口、以及所述后壁晶片端口。
本发明还公开了一种设备前端模块(EFEM),其用于往来于处理模块传送半导体晶片。所述EFEM包括外壳,其适于具有在其中的受控环境,其中所述外壳由前壁、后壁、介于所述前壁和所述后壁之间的第一侧壁和第二侧壁、顶壁和底壁限定。所述第一侧壁、所述第二侧壁、所述顶壁或所述底壁包括两个或更多个晶片装载端口,其中每个晶片装载端口适于从晶片传送系统接收正面开口标准箱(FOUP),所述晶片传送系统被配置成传送FOUP到相应的晶片装载端口。所述前壁包括第一前壁晶片端口和第二前壁晶片端口。所述第一和第二前壁晶片端口被配置成附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境。所述第一侧壁包括至少两个第一侧壁晶片端口,所述第二侧壁包括至少两个第二侧壁晶片端口,其中,所述至少两个第一侧壁晶片端口和所述至少两个第二侧壁晶片端口各自被配置成附连到相应的半导体晶片处理模块。在所述EFEM的所述外壳中的至少一个机械手能操作以传送晶片通过所述晶片装载端口、所述第一前壁晶片端口、所述第二前壁晶片端口、所述至少两个第一侧壁晶片端口以及所述至少两个第二侧壁晶片端口。
附图说明
图1示出了根据本文所公开的实施例的晶片处理系统。
图2示出了根据本文所公开的实施例的晶片处理系统。
图3A-C示出了根据本文所公开的实施例的晶片处理系统的EFEM。
图4示出了根据本文所公开的实施例的晶片处理系统。
图5示出了根据本文所公开的实施例的晶片处理系统。
图6示出了根据本文所公开的实施例的晶片处理系统。
图7示出了根据本文所公开的实施例的晶片处理系统。
具体实施方式
在下面的详细描述中,阐述了许多具体的实施例,以便提供对本文所公开的系统、设备和方法的充分理解。然而,如对于那些本领域技术人员将是显而易见的,本文的实施例可以在没有这些特定细节的情况下或通过使用替代元件或处理来实施。在其他情况下,公知的处理、步骤、和/或组件没有被详细描述,以免不必要地模糊本文所公开的实施例的方面。类似标记在图中指代类似元件。如本文所用的术语“约”是指±10%。
本文所公开的实施例包括晶片处理系统,该晶片处理系统包括设备前端模块(EFEM),该设备前端模块用于将半导体晶片传送到以与其成操作性关系的半导体晶片处理模块和从该半导体晶片处理模块传送半导体晶片。参考图1,根据本文所公开的实施例,半导体晶片(衬底)处理系统10可以定位在清洁室12内,其中晶片处理系统10内部的气氛被控制以在晶片处理之前、期间和之后最小化(如果未防止)晶片相对于清洁室12的周围环境的暴露。晶片处理系统10包括EFEM100。EFEM100包括适于在内部具有受控环境的外壳110,其中外壳110由前壁120、后壁121、在前壁120和后壁121之间延伸的第一侧壁122、在前壁120和后壁121之间延伸的第二侧壁123、顶壁(未示出)和底壁(未示出)所限定。本文所公开的受控环境可包括经过滤的空气环境(例如周围的过滤空气)或如氮之类的惰性气体环境,其中所述受控环境可以是在大气压下或在比大气压更大或更小(例如真空压)的压强下。
第一侧壁122、第二侧壁123、顶壁或底壁可以包括两个或更多个晶片装载口130,其中每个晶片装载端口130适于从高架式晶片传送系统(未示出)接收正面开口标准箱(FOUP)135,该高架式晶片传送系统被配置成将FOUP135传送到相应的晶片装载端口130。FOUP135是一种塑料外壳类型,其设计成保持半导体晶片(例如,通常为硅晶片(Si),但也可包括由如锗(Ge)之类的元素半导体材料、或如砷化镓(GaAs)或砷化铟(InAs)之类的化合物半导体材料所形成的各种其它晶片类型)。FOUP135将晶片(未示出)牢固且安全地保持在其受控环境中。每个晶片装载端口130可包括附接到其上的相应的FOUP135,但并非每个晶片装载端口130都必需具有附接到其上的相应的FOUP135(即仅某些晶片装载端口130可包括连接到其上的相应的FOUP135)。在一个实施例中,EFEM100的受控环境可以是在真空压下,其中附接到晶片装载端口130的FOUP135同样是在真空压下。
如图1所示,第一侧壁122包括适于各自接收相应的FOUP135的两个晶片装载端口130,第二侧壁123包括适于各自接收相应的FOUP135的两个晶片装载端口130。前壁120包括第一前壁晶片端口140和第二前壁晶片端口145。第一和第二前壁晶片端口140、145能够各自附接到(即交互于)相应的第一和第二前壁装载锁141、146,该第一和第二前壁装载锁141、146可操作以允许晶片被从EFEM100的受控环境传送到前壁集群处理工具160的前壁真空传送模块148的真空环境或者从前壁集群处理工具160的前壁真空传送模块148的真空环境传送到EFEM100的受控环境。
在EFEM100的外壳110内的至少一个机械手(未示出)可操作以从附接到相应晶片装载端口130的FOUP135传送晶片到第一或第二前壁装载锁141、146。第一和/或第二前壁装载锁141、146可包括与其流体连接的真空泵(未示出),使得真空泵可降低相应装载锁141、146内的压强,进而允许晶片随后被传送到前壁真空传送模块148。前壁真空传送模块148的真空传送机械手(未示出)可操作以将晶片从相应的第一或第二前壁装载锁141、146传送到前壁集群处理工具160的一个或者多个前壁处理模块200,其中一个或多个处理操作可以在相应的晶片上执行。
在一个或多个处理操作已经在晶片上执行之后,晶片可以通过前壁真空传送模块148的真空传送机械手穿过第一或第二前壁装载锁141、146往回传送,其中在相应的前壁装载锁141、146内部的压强可以升高,从而使EFEM100的至少一个机械手可以将晶片从相应的第一或第二前壁装载锁141、146传送回到EFEM100的受控环境。在优选的实施例中,第一前壁装载锁141可专用于从EFEM100的受控环境传送晶片到前壁真空传送模块148的真空环境,而第二前壁装载锁146专用于从前壁真空传送模块148的真空环境传送晶片到EFEM100的受控环境,或反之亦然。包括装载锁、真空传送模块、处理模块以及关联的机械手的集群处理工具的示例性实施例可在共同转让的美国专利No.6321134和7682462中找到,通过引用将这些美国专利全部并入本文。
EFEM100的后壁121包括第一后壁晶片端口170。第一后壁晶片端口170适于与后壁集群处理工具190成操作关系。后壁集群处理工具190可以包括在内部具有受控环境的后壁传送模块198,如经过滤的空气或如氮之类的惰性气体的受控环境,其中所述传送模块198与一个或多个后壁处理模块205为操作关系。在EFEM100的外壳内的至少一个机械手可操作以传送晶片通过后壁晶片端口170到后壁转送模块198的受控环境,其中所述后壁传送模块198可操作以传送晶片到一个或多个后壁处理模块205,其中一个或多个处理操作可在相应的晶片上执行。在一个实施例中,该至少一个机械手可包括线性机械手,该线性机械手可操作以传送晶片沿着延伸的长度路径(参照图4)通过第一后壁晶片端口170到后壁转送模块198,以往来于后壁集群处理工具190的后壁处理模块205传送晶片。
前壁处理模块200和后壁处理模块205可在晶片上执行诸如晶片蚀刻、材料沉积和晶片清洁操作之类的处理操作,该处理操作包括例如以下操作:等离子体蚀刻、原子层蚀刻(ALE)、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、分子层沉积(MLD)、等离子体增强脉冲沉积层(PEPDL)处理、抗蚀剂去除、原位测量、干法清洁、湿法晶片清洁、离子束蚀刻(IBE)和湿法蚀刻(例如,化学蚀刻)。在一个实施例中,前壁处理模块200优选在晶片上执行材料沉积操作和/或晶片蚀刻操作,而后壁处理模块205在晶片上执行晶片清洁操作,如湿法清洁操作。在一个实施例中,前壁集群处理工具160可包括多达六个前壁处理模块200,例如三个、四个、五个或六个前壁处理模块200,而后壁集群处理工具可包括多达十六个后壁处理模块205。因此,可操作以往来于前壁集群处理工具160和后壁集群处理工具190传送晶片而不从晶片处理系统10的受控环境移除晶片的EFEM100的实施例允许多个处理模块200和205被布置成操作关系,从而允许在单个晶片处理系统10中本地执行多个处理步骤或操作,从而增加晶片处理系统10的使用,增加晶片处理系统10的产量,并缩短晶片处理的循环时间,因为多个处理操作可以在晶片处理系统10的晶片上执行而无需将晶片传送到单独的不同晶片处理系统10以进行进一步的处理操作。
在一个实施例中,后壁集群处理工具的后壁传送模块可以是后壁真空传送模块。在该实施例中,EFEM的第一后壁晶片端口可以连接到相应的第一后壁装载锁,该第一后壁装载锁可操作以允许晶片被从EFEM的受控环境转移到后壁集群处理工具的真空环境,或从后壁集群处理工具的真空环境转移到EFEM的受控环境。例如,图2示出了晶片处理系统10,其中所述EFEM100包括第一后壁晶片端口170和第二后壁晶片端口175。第一和第二后壁晶片端口170、175可以分别连接到相应的第一和第二后壁装载锁171、176,该第一和第二后壁装载锁171、176可操作以允许晶片被从EFEM100的受控环境传送到后壁集群处理工具190的后壁真空传送模块198的真空环境或从后壁集群处理工具190的后壁真空传递模块198的真空环境传送到EFEM100的受控环境。
在EFEM100的外壳中的至少一个机械手(未示出)可操作以从附连到相应的晶片装载端口130的FOUP135传送晶片到第一或第二后壁装载锁171、176。第一和/或第二后壁装载锁171、176可包括与其流体连接的真空泵(未示出),使得真空泵可降低在相应的装载锁171、176内的压强,以允许晶片被随后传送到后壁真空传递模块198。后壁真空传送模块198的真空传送机械手(未示出)可操作以将晶片从相应的第一或第二后壁装载锁171、176传送到后壁集群处理工具190的一个或多个后壁处理模块205,其中一个或多个处理操作可以在相应的后壁处理模块205中的相应晶片上执行,例如材料沉积或等离子蚀刻操作。
在一个或多个处理操作在晶片上执行之后,晶片可通过后壁真空传递模块198的真空传送机械手被传回通过第一或第二后壁装载锁171、176,其中,相应的后壁装载锁171、176的内部的压力会增大,使得EFEM100的至少一个机械手可以从相应的第一或第二后壁装载锁171、176将晶片传送回到EFEM100的受控环境。在一个优选的实施例中,第一后壁装载锁171可专用于从EFEM100的受控环境传送晶片到后壁真空传递模块198的真空环境,而第二后壁装载锁176专用于从后壁真空传递模块198的真空环境传送晶片到EFEM100的受控环境,或反之亦然。
在一个实施例中,前壁装载锁141、146和/或后壁装载锁171、176可以使是堆叠式装载锁,如在共同转让的美国专利No.8430620所公开的那些,该美国专利在此通过引用被全部并入本文。如果装载锁是堆叠式的,则堆叠式装载锁可以与EFEM100的相应的堆叠式晶片端口成操作关系。在另一实施例中,前壁装载锁141、146和/或后壁装载锁171、176可以是可操作的以在布置在其中的晶片上执行处理操作。
参考图3A,EFEM100的至少一个机械手可包括在其外壳110中的第一机械手180和一个第二机械手181。第一机械手180可具有臂180a,第二机械手181可具有臂181a。第一机械手180的臂180a的端部和第二机械手181的臂181a的端部可分别包括端部执行器,或者可选地包括双端部执行器。端部执行器是连接到机械臂的端部的设备或工具,例如叶片、桨叶或夹具,其可操作以在机械臂传送半导体晶片的同时支撑半导体晶片。如本文所使用的,端部执行器是物理地接触晶片或其它衬底以将其运送的任何这样的支撑件或设备。端部执行器包括:大体平坦的支撑件,如叶片、桨叶或叉,晶片可设置于其上;以及将晶片保持在合适位置的设备,例如夹具。机械臂包括端部执行器,如双端部执行器,或专用于传送热或冷的晶片(或经处理的或未经处理的晶片)的端部执行器的示例性实施例可在共同转让的美国专利No.8430620中找到。
在一个实施例中,第一机械手180可以是可操作的以往来于一个或多个FOUP135移动并传送晶片,该一个或多个FOUP135附连到位于EFEM100的第一侧壁122上的相应的晶片装载口130,而第二机械手181可以是可操作的以往来于一个或多个FOUP135移动并传送晶片,该一个或多个FOUP135连接到位于EFEM100的第二侧壁123上的相应的晶片装载口130。在一个实施例中,第一机械手180可专用于将晶片从附接到晶片装载端口130的FOUP135移除,而第二机械手181可专用于将晶片存储在附连到相应的晶片装载端口130的FOUP135。在此实施例中,第一机械手180可专用于传输未经处理的晶片,而第二机械手181专用于传输经处理的晶片。在一个替代实施例中,第一机械手180可以专用于将晶片传送通过第一或第二前壁晶片端口140、145,而第二机械手181专用于将晶片传送通过第一或第二后壁晶片端口170、175。
在一个实施例中,EFEM100可包括在其外壳110中的晶片存储部185。晶片存储部185可操作以存储经处理或未经处理的晶片,其中第一机械手180和/或第二机械手181可以是可操作的以将晶片传送到晶片存储部或从该晶片存储部传送。在一个实施例中,EFEM100可以包括在其外壳110中的晶片校准器201。第一机械手180和/或第二机械手181可以是可操作的以将晶片往来于晶片校准器201传送,其中在晶片校准器201可操作以提供在旋转方向和线性径向方向上的晶片运动,使得可以在晶片被传送到前壁集群处理工具(未示出)或后壁集群处理工具(未示出)的处理模块(未示出)之前使晶片居中。晶片校准器的示例性实施例可在共同转让的美国专利No.8185242中找到,该美国专利在此通过引用将其整体并入本文。
现在参考图3B,该EFEM100可以包括在其内部110中的第一机械手180,其中第一机械手180可具有双臂180a和180b。双臂180a和180b可以各自在其相应端部具有端部执行器,或者可选地在其相应端部具有双端部执行器。第一机械手180的双臂180a和180b可以是可操作的以往来于一个或多个FOUP135移动并传送晶片,该一个或多个FOUP135附连到位于EFEM100的第一侧壁122或EFEM100的第二侧壁123上的相应晶片装载口130。在一个替代实施例中,第一机械手180的臂180a可以专用于往来于附连到位于EFEM100的第一侧壁122上的相应晶片装载口130的一个或多个的FOUP135移动并传送晶片,而第一机械手180的臂180b中可以专用于往来于附连到位于EFEM100的第二侧壁123上的对应晶片装载口130的一个或多个FOUP135移动并传送晶片。
在一个实施例中,EFEM100的至少一个机械手可包括线性机械手,其可操作以沿前壁120和后壁121之间延伸的行进路径传送晶片,或者可选地沿第一侧壁122和第二侧壁123之间延伸的行进路径传送晶片。例如,如图3C所示,EFEM100可以包括第一线性机械手180和第二线性机械手181,其中第一线性机械手180沿着前壁120和后壁121之间的第一行进路径182可移动,而第二线性机械手181沿着前壁120和后壁121之间的第二行进路径183可移动。在一个替代实施例中,第一线性机械手180和/或第二线性机械手181沿着第一侧壁122和第二侧壁123之间的相应的行进路径可移动。
图4示出了根据本文公开的实施例的晶片处理系统10。晶片处理系统10包括EFEM100,EFEM100用于往来于与其成操作关系的处理模块传送晶片,其中在相应的晶片上执行一个或多个处理操作。EFEM100包括具有在其内部的受控环境,其中外壳110是由前壁120、后壁121、介于前壁120和后壁121之间的第一侧壁122、介于前壁120和后壁121之间的第二侧壁123、顶壁(未示出)和底壁(未示出)所限定的。第一侧壁、第二侧壁、顶壁或底壁可以包括两个或更多个晶片装载口130,其中每个晶片装载端口130适于从高架式晶片传送系统(未示出)接收FOUP135,该高架式晶片传送系统构造成将FOUP135传送到EFEM100的相应的晶片装载端口130。例如,如图4中所示,EFEM100的第一侧壁122包括两个晶片装载口130,EFEM100的第二侧壁123包括两个晶片装载端口130。
EFEM100的前壁包括第一前壁晶片端口140和第二前壁晶片端口145。第一和第二前壁晶片端口140、145可以连接到相应的第一和第二前壁装载锁141、146,该第一和第二前壁装载锁141、146可操作以允许晶片被从EFEM100的受控环境传送到前壁集群处理工具160的前壁真空传送模块148的真空环境或从前壁集群处理工具160的前壁真空传送模块148的真空环境传送到EFEM100的受控环境。EFEM100的第一侧壁122包括至少两个第一侧壁晶片端口122a,第二侧壁123包括至少两个第二侧壁晶片端口123a。至少两个第一侧壁晶片端口122a和至少两个第二侧壁晶片端口123a可以各自连接到相应的EFEM半导体晶片处理模块(EFEM处理模块)105,其例如可以可操作以执行湿法晶片清洁操作。湿法晶片清洁操作的示例性的实施例可以在共同转让的美国专利No.8388762中找到,该美国专利在此通过引用将其整体并入本文。
该EFEM100包括在其外壳110中的至少一个机械手(诸如线性机械手180),其可操作以将晶片传送通过晶片装载口130、第一前壁晶片端口140、第二前壁晶片端口145、至少两个第一侧壁晶片端口122a以及至少两个第二侧壁晶片端口123a。例如,如图4中所示。EFEM100包括各自直接连接到相应的EFEM处理模块105的四个第一侧壁晶片端口122a和各自直接连接到相应的EFEM处理模块105的四个第二侧壁晶片端口123a,其中线性机械手180可以在行进路径182上行进,从而线性机械手可以传送晶片通过四个第一侧壁晶片端口122a、四个第二侧壁晶片端口123a、晶片装载口130、第一前壁晶片端口140、第二前壁晶片端口145、以及可选的第一和/或第二后壁晶片端口170、175。如果EFEM100包括可选的后壁晶片端口170、175,则后壁晶片端口170、175可以与后壁集群处理工具190成操作关系,如图7中所示。第一和第二后壁晶片端口170、175可以分别连接到相应的第一和第二后壁装载锁171、176,该第一和第二后壁装载锁171、176可操作以允许晶片被从EFEM100的受控环境传送到后壁集群处理工具190的后壁真空传送模块198的真空环境或从后壁集群处理工具190的后壁真空传送模块198的真空环境传送到EFEM100的受控环境。在替代实施例中,参考图4或7,第一和第二侧壁122,123可以各自包括多达八个相应的侧壁晶片端口122a、123a,该侧壁晶片端口122a、123a各自可操作以连接到相应的EFEM处理模块105。另外,在一个实施例中,两个或更多个晶片端口122a、123a可以与相应的EFEM处理模块105相关联。例如,两个或更多个晶片端口122a、123a可以以两个或更多行的形式堆叠,其中每个堆叠都与对应的EFEM处理模块105相关联,或并排的两个或更多个晶片端口122a、123a可以与相应的EFEM处理模块105相关联。
在如图5中所示出的晶片处理系统10的一个实施例中,高架式晶片传输(OHT)系统210可操作以将FOUP135传送到EFEM100的晶片端口130。OHT系统210可操作以使FOUP135升高、下降并旋转,使得FOUP135可以与EFEM100的相应的晶片装载端口130对准,使得晶片可以由EFEM100的至少一个机械手从FOUP135移除。优选地,EFEM100的高度被设置成使得OHT系统210可以在EFEM100的顶壁上在EFEM100的前壁120和后壁121之间传送FOUP135。
EFEM100可以包括可操作以给EFEM100供电的电子外壳150和可操作以提供经过滤的空气到EFEM100的外壳110的受控环境的风机过滤单元137。包括风机过滤单元和电子外壳150的EFEM的一个示范性实施例可以在共同转让的美国专利No.8282698中找到,在此通过引用将该专利整体并入本文中。在一个实施例中,附连到EFEM100的晶片端口的装载锁,诸如第一和/或第二前壁装载锁141、146可包括在其中的光学临界尺寸测量工具300,使得所述晶片的特征(包括但不限于晶片弯曲(bow)或在晶片上的薄膜的厚度(拓扑结构))可在晶片已经被传送到前壁集群处理工具160之前或之后进行测量。可替代地,第一和/或第二后壁装载锁171、176(参照图2)可以包括在其内部的光学临界尺寸测量工具300以用于测量晶片的特征,包括但不限于在晶片上的临界尺寸或薄膜厚度测量。光学临界尺寸测量工具的示例性实施例可在共同转让的美国专利No.7309718和8225683中找到,在此通过引用将其整体并入本文。
晶片处理系统10和本文所公开的相关的EFEM100可与电子器件集成用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作。所述电子器件可以被称为“控制器”,其可以控制系统或多个系统的不同组件或子部分。例如,如图5中所示,晶片处理系统10和/或EFEM100包括电子外壳150和相关联的控制器156。控制器156根据处理要求和/或晶片处理系统10的类型可被编程以控制本文所公开的任何处理,包括处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片传送进出工具和与特定的系统连接或交互的其他传输工具和/或装载锁。
概括地说,控制器可以被限定为具有接收指令、发出指令、控制操作、启动清洁操作、启动终点测量等等的各种集成电路、逻辑、存储器、和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路的芯片(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种不同单独设置(或程序文件)的形式通信到控制器的指令、限定用于在半导体晶片上或针对半导体晶片或对于系统执行特定处理的操作参数的指令。所述操作参数在一些实施例中可以是由工艺工程师所定义的配方的部分,以在制造晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路、和/或管芯期间完成一个或多个处理步骤。
控制器156在一些实现方案中可以是计算机的部分或耦合到计算机,所述计算机与系统集成、耦合到系统,或联网到系统,或这些的组合。例如,该控制器可以是在“云”中或是工厂(fab)主计算机系统的全部或部分,其可以允许晶片处理的远程访问。计算机可以启用对系统的远程访问来监控制造操作的当前进展、检查过去制造操作的历史记录、从多个制造操作检查趋势或性能度量,以改变当前处理的参数,以设置处理的步骤来跟随当前处理,或以开始新的过程。在一些例子中,远程计算机(例如服务器)可以通过网络提供工艺配方给系统,该网络可以包括局域网或互联网。远程计算机可以包括用户界面,该用户界面能进行参数和/或设置的输入或编程,这些参数和/或设置然后从远程计算机被传送到系统。在一些实例中,控制器接收数据形式的指令,其针对在一个或多个操作期间待执行的每一个处理步骤指定参数。但是应当理解的是,所述参数可以特定于待执行的处理类型和工具类型,控制器被配置成与该工具类型交互或控制该工具类型。因此,如上所述,控制器156可以是分布式的,例如通过包括被联网在一起并朝着共同目的(如本文中所描述的处理和控制)而工作的一个或多个离散控制器。用于这种目的的分布式控制器的一个例子将是与位于远程的一个或多个集成电路(如在平台级别(即晶片处理系统10)或作为远程计算机的一部分)通信的腔室上的一个或多个集成电路,这些集成电路相结合来控制室上的处理。
非限制性地,晶片处理系统10的实例可包括处理室,处理室包括等离子体蚀刻室或模块、沉积室或模块、旋洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以在半导体晶片的制造和/或生产中关联或使用的任何其它半导体处理设备或系统。
如上所述,根据待由晶片处理系统10执行的方法步骤或多个方法步骤,EFEM100的控制器156可与以下一种或多种通信:其它工具电路或模块、其它工具组件、集群工具、其它工具接口、相邻工具、邻接工具、位于整个工厂的工具、主机、另一控制器、或用于材料运输中的工具,该工具在半导体制造工厂内将晶片容器运送到工具位置和/或装载口并且从该工具位置和/或装载口运送晶片容器。优选地,非短暂性计算机可读介质包括用于晶片处理系统10的控制的程序指令。
图5示出了在晶片处理系统10中被处理的晶片的路径。优选地,控制器156包括指定晶片将在整个晶片处理系统10中采取的路径(即晶片转移指令)的晶片传送指令。用于针对晶片处理系统创建成组的晶片传送指令的计算机实现方法的一个示范性的实施例可以在共同转让的美国专利No.7680559中找到,该专利在此通过引用被整体并入本文。
在晶片处理系统10中处理晶片的方法可以包括传送晶片的FOUP135到EFEM100的晶片装载端口130。晶片然后可由EFEM的至少一个机械手从FOUP135被传送到EFEM100的受控环境。该至少一个机械手然后可将晶片从EFEM100的受控环境通过第一或第二前壁晶片端口140、145传送到前壁集群处理工具160的真空环境,其中在前壁集群处理工具160的一个或多个处理模块中,晶片经受一个或多个处理操作,如材料沉积或等离子体蚀刻。例如,晶片可以被传送到相应的第一或第二前壁装载锁141、146,其中光学临界尺寸测量工具300可以测量晶片的特征,同时相应的装载锁141、146降低晶片的压力环境,使得晶片可以随后被传送到前壁集群处理工具160的前壁真空传送模块148,其中,一个或多个处理操作在与其为操作关系的一个或多个处理操作模块200中被执行。
晶片然后可从前壁集群处理工具160通过EFEM100的第一或第二前壁晶片端口140、145被传送到EFEM100的受控环境。晶片然后可通过EFEM100的至少一个机械手被传送穿过EFEM100的第一后壁晶片端口170到达后壁集群处理工具190,其中晶片经受一个或多个处理操作,例如在后壁集群处理工具190的一个或多个后壁处理模块205中的清洁操作。在晶片已经通过后壁集群处理工具190的一个或多个后壁处理模块205进行处理之后,晶片可以从后壁集群处理工具190通过EFEM100的第一后壁晶片端口170被传送到EFEM100的受控环境。在处理过程中,晶片可在前壁集群处理工具160和后壁集群处理工具190之间被来回传送穿过EFEM一次或多次。在处理之后,晶片然后可返回到连接到EFEM100的相应晶片端口130的FOUP135,使得晶片可以从晶片处理系统10除去。
图6示出了处理操作的实施例,其可在晶片处理系统10中在半导体晶片上执行。处理操作可包括从FOUP传送晶片通过EFEM100到前壁集群处理工具160的第一前壁处理模块200A,其中晶片经受例如掩模开口操作。接着,晶片可以被传送到第二前壁处理模块200B,其中,例如,在晶片上执行离子束蚀刻(IBE)处理。晶片然后可被传送到第三前壁处理模块200C,其中,例如,间隔层可沉积在晶片上。晶片然后可被传送到第四前壁处理模块200D,其中,例如,可以执行原子层蚀刻活化操作。在已经执行了原子层蚀刻活化之后,晶片可被传送通过EFEM100到达后壁集群处理工具190,使得后壁处理模块205可以执行原子层蚀刻操作以从晶片移除材料。晶片可以随后在前壁处理模块200D和后壁处理模块205之间穿过EFEM100被来回传送,使得原子层蚀刻活化和原子层蚀刻操作可被执行,直至材料的所需量已被从晶片去除。在材料的所需量已被从晶片去除之后,晶片可以从EFEM被传送到相应的FOUP,其中晶片可以从晶片处理系统10移除。
虽然出于清楚理解的目的上述实施例已在一些细节中进行了描述,但显而易见,某些改变和修改可在所附权利要求的范围内实施。因此,本实施例应被认为是说明性的而非限制性的,且本文所公开的实施例并不限于本文给出的细节,而是可以在所附权利要求的范围和等同物内进行修改。

Claims (19)

1.一种用于往来于半导体晶片处理模块传送半导体晶片的设备前端模块(EFEM),其包括:
外壳,其适于具有在其内部的受控环境,其中所述外壳由前壁、后壁、介于所述前壁和所述后壁之间的第一侧壁和第二侧壁、顶壁和底壁限定;
所述第一侧壁、所述第二侧壁、所述顶壁或所述底壁包括两个或更多个晶片装载端口,其中每个晶片装载端口适于从晶片传送系统接收正面开口标准箱(FOUP),所述晶片传送系统被配置成传送FOUP到相应的晶片装载端口;
所述前壁包括第一前壁晶片端口和第二前壁晶片端口,所述第一和第二前壁晶片端口被配置成附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境;
所述后壁包括第一后壁晶片端口,所述后壁晶片端口适于与后壁集群处理工具成操作关系;以及
在所述EFEM的所述外壳中的至少一个机械手能操作以传送晶片通过所述晶片装载端口、所述第一前壁晶片端口、所述第二前壁晶片端口、以及所述后壁晶片端口。
2.根据权利要求1所述的EFEM,其中:
(a)所述第一后壁晶片端口附连到相应的第一后壁装载锁,所述第一后壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境或从所述后壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境;
(b)所述后壁包括第二后壁晶片端口,所述第一和所述第二后壁晶片端口被配置成附连到相应的第一和第二后壁装载锁,所述第一和第二装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境或从所述后壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境;或者
(c)所述后壁包括第二后壁晶片端口,所述第一和第二后壁晶片端口附连到相应的第一和第二后壁装载锁,所述第一和第二后壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境或从所述后壁集群工具的所述真空环境传送到所述EFEM的所述受控环境。
3.根据权利要求1所述的EFEM,其中所述EFEM包括:
(a)在其外壳内的晶片存储部,所述晶片存储部能操作以存储经处理或未经处理的晶片,所述至少一个机械手能操作以传送晶片到所述晶片存储部或从所述晶片存储部传送晶片;
(b)在其外壳内的晶片校准器,所述至少一个机械手能操作以传送晶片到所述晶片校准器和从所述晶片校准器传送晶片,其中所述晶片校准器能操作以提供在旋转方向和线性径向方向上的晶片运动,使得在所述晶片被传送到所述前壁集群处理工具或所述后壁集群处理工具的处理模块之前,所述晶片能够居中;和/或
(c)风机过滤单元,其能操作以提供经过滤的空气到所述EFEM的所述受控环境;和/或
(d)电子外壳,其包括电子器件以给所述EFEM供电。
4.根据权利要求1所述的EFEM,其中:
(a)所述EFEM附连到所述后壁集群处理工具,并且所述至少一个机械手能操作以从所述EFEM的所述受控环境传送晶片通过所述第一后壁晶片端口到所述后壁集群处理工具的受控环境且从所述后壁集群处理工具的所述受控环境传送晶片通过所述第一后壁晶片端口到所述EFEM的所述受控环境。
(b)所述EFEM附连到所述后壁集群处理工具,并且所述至少一个机械手包括线性机械手,所述线性机械手能操作以沿着延伸通过所述第一后壁晶片端口的延伸的长度路径移动晶片并且传送晶片到所述后壁集群处理工具的处理模块和从所述后壁集群处理工具的所述处理模块传送晶片;
(c)所述至少一个机械手包括第一机械手和第二机械手,所述第一机械手能操作以从附连到晶片装载端口的第一FOUP移除晶片,所述第二机械手能操作以将晶片存储在附连到晶片装载端口的第二FOUP;
(d)所述至少一个机械手沿着介于所述第一侧壁和所述第二侧壁之间的行进路径能移动;和/或
(e)所述至少一个机械手沿着介于所述前壁和所述后壁之间的行进路径能移动。
5.一种用于往来于处理模块传送半导体晶片的设备前端模块(EFEM),其包括:
外壳,其适于具有在其内部的受控环境,其中所述外壳由前壁、后壁、介于所述前壁和所述后壁之间的第一侧壁和第二侧壁、顶壁和底壁限定;
所述第一侧壁、所述第二侧壁、所述顶壁或所述底壁包括两个或更多个晶片装载端口,其中每个晶片装载端口适于从晶片传送系统接收正面开口标准箱(FOUP),所述晶片传送系统被配置成传送FOUP到相应的晶片装载端口;
所述前壁包括第一前壁晶片端口和第二前壁晶片端口,所述第一和第二前壁晶片端口被配置成附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境;
所述第一侧壁包括至少两个第一侧壁晶片端口,所述第二侧壁包括至少两个第二侧壁晶片端口,所述至少两个第一侧壁晶片端口和所述至少两个第二侧壁晶片端口各自被配置成附连到相应的半导体晶片处理模块;以及
在所述EFEM的所述外壳中的至少一个机械手,其能操作以传送晶片通过所述晶片装载端口、所述第一前壁晶片端口、所述第二前壁晶片端口、所述至少两个第一侧壁晶片端口以及所述至少两个第二侧壁晶片端口。
6.根据权利要求5所述的EFEM,其中:
(a)所述后壁包括适于与后壁集群处理工具成操作关系的第一后壁晶片端口,其中在所述EFEM的所述外壳内的所述至少一个机械臂能操作以传送晶片通过所述第一后壁晶片端口;
(b)所述后壁包括适于与后壁集群处理工具成操作关系的第一后壁晶片端口,所述第一后壁晶片端口附连到相应的第一后壁装载锁,所述第一后壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境或从所述后壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境,其中所述EFEM的所述外壳中的所述至少一个机械手能操作以传送晶片通过所述第一后壁晶片端口;
(c)所述后壁包括适于与后壁集群处理工具成操作关系的第一和第二后壁晶片端口,所述第一和第二后壁晶片端口被配置成附连到相应的第一和第二后壁装载锁,所述第一和第二后壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的受控环境或从所述后壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境,其中所述EFEM的所述外壳中的所述至少一个机械手能操作以传送晶片通过所述第一和第二后壁晶片端口;或者
(d)所述后壁包括适于与后壁集群处理工具成操作关系的第一和第二后壁晶片端口,所述第一和第二后壁晶片端口附连到相应的第一和第二后壁装载锁,所述第一和第二后壁装载锁能操作以使晶片能被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的受控环境或从所述后壁集群处理工具的所述受控环境传送到所述EFEM的所述受控环境,其中在所述EFEM的所述外壳中的所述至少一个机械手能操作以传送晶片通过所述第一和第二后壁晶片端口。
7.根据权利要求5所述的EFEM,其中:
(a)所述至少一个机械手包括线性机械手,所述线性机械手能操作以沿着所述EFEM的所述外壳内的延伸的长度路径移动晶片并且传送晶片通过所述第一侧壁晶片端口和所述第二侧壁晶片端口;
(b)所述EFEM包括在其外壳内的晶片存储部,所述晶片存储部能操作以存储经处理或未经处理的晶片,所述至少一个机械手能操作以传送晶片到所述晶片存储部或从所述晶片存储部传送晶片;
(c)所述至少一个机械手包括适于从附连到晶片装载端口的第一FOUP移除晶片的第一机械手和能操作以将晶片存储在附连到晶片装载端口的第二FOUP的第二机械手;
(d)所述至少一个机械手沿着介于所述第一侧壁和所述第二侧壁之间的行进路径能移动;
(e)所述至少一个机械手沿着介于所述前壁和所述后壁之间的行进路径能移动;
(f)所述EFEM包括在其外壳中的晶片校准器,所述至少一个机械手能操作以传送晶片到所述晶片校准器和从所述晶片校准器传送晶片,其中所述晶片校准器能操作以提供在旋转方向和线性径向方向上的晶片运动,使得在所述晶片被传送到所述前壁集群处理工具的处理模块或与所述第一和第二侧壁晶片端口附连的相应的半导体晶片处理模块之前,所述晶片能够居中;和/或
(g)所述EFEM包括能操作以提供经过滤的空气给所述EFEM的所述受控环境的风机过滤单元;和/或
(h)相应的半导体晶片处理模块附连到所述至少两个第一侧壁晶片端口和所述至少两个侧壁晶片端口中的相应的至少一个。
8.一种包括权利要求1所述的EFEM的晶片处理系统,其中所述第一前壁晶片端口和所述第二前壁晶片端口各自附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境。
9.根据权利要求8所述的晶片处理系统,其中:
(a)所述第一后壁晶片端口与相应的第一后壁装载锁成操作关系,所述第一后壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境;
(b)所述后壁包括第二后壁晶片端口,所述第一和第二后壁晶片端口与相应的第一和第二后壁装载锁成操作关系,所述第一和第二后壁装载锁各自能操作以允许晶片被从所述EFEM的所述受控环境传送到所述后壁集群处理工具的真空环境和从所述后壁集群处理工具的所述真空环境传送到所述EFEM的所述受控环境;或者
(c)所述EFEM附连到所述后壁集群处理工具,并且所述至少一个机械手能操作以从所述EFEM的所述受控环境传送晶片通过所述第一后壁晶片端口到后壁集群处理工具的受控环境并且从所述后壁集群处理工具的所述受控环境传送晶片通过所述后壁晶片端口到所述EFEM的所述受控环境。
10.根据权利要求8所述的晶片处理系统,其中所述EFEM包括:
(a)在所述第一侧壁的两个或更多个晶片装载端口以及在所述第二侧壁的两个或更多个晶片装载端口;
(b)在其外壳中的晶片存储部,其能操作以在其内部存储经处理或未经处理的晶片,所述至少一个机械手能操作以传送晶片到所述晶片存储部或从所述晶片存储部传送晶片;
(c)在其外壳中的晶片校准器,所述至少一个机械手能操作以传送晶片到所述晶片校准器以及从所述晶片校准器传送晶片,其中所述晶片校准器能操作以提供在旋转方向和线性径向方向上的晶片运动,使得在所述晶片被传送到所述前壁集群处理工具或所述后壁集群处理工具的处理模块之前,所述晶片能够居中;
(d)风机过滤单元,其能操作以提供过滤空气到所述EFEM的所述受控环境;和/或
(e)电子外壳,其包括电子器件以给所述EFEM供电。
11.根据权利要求8所述的晶片处理系统,其中:
(a)所述后壁集群处理工具包括在其传送模块中的延伸的长度路径和和线性机械手,所述线性机械手能操作以沿着所述延伸的长度路径移动晶片并且传送晶片到所述后壁集群处理工具的处理模块和从所述后壁集群处理工具的处理模块传送晶片;和/或
(b)所述前壁集群处理工具包括前壁真空传送模块和与所述真空传送模块成操作关系的一个或多个处理模块,所述后壁集群处理工具包括在内部具有受控环境的后壁传送模块以及与所述后壁传送模块成操作关系的一个或多个处理模块;或者所述前壁集群处理工具包括前壁真空传送模块和与所述真空传送模块成操作关系的一个或多个处理模块,所述后壁集群处理工具包括在内部具有受控环境的后壁传送模块以及与所述后壁传送模块成操作关系的一个或多个处理模块。
12.根据权利要求8所述的晶片处理系统,其进一步包括:
(a)控制器,其配置成控制由所述系统执行的处理;
(b)非暂态计算机机器可读介质,其包括用于控制所述系统的程序指令;
(c)高架式晶片传送(OHT)系统,其中所述EFEM的高度设置成使得所述OHT系统能够在所述EFEM的所述顶壁上在所述EFEM的所述前壁和所述后壁之间传送FOUP;所述OHT系统能操作以抬起、降低和旋转所述FOUP,使得所述FOUP能与所述EFEM的相应的晶片装载端口对准;和/或
(d)光学临界尺寸测量工具,其被包括在所述第一或第二前壁装载锁内。
13.一种包括权利要求5所述的EFEM的晶片处理系统,其中,所述第一前壁晶片端口和所述第二前壁晶片端口各自附连到相应的第一和第二前壁装载锁,所述第一和第二前壁装载锁能操作以允许晶片被从所述EFEM的所述受控环境传送到前壁集群处理工具的真空环境或从所述前壁集群处理工具的真空环境传送到所述EFEM的所述受控环境。
14.根据权利要求13的所述晶片处理系统,其中:
(a)所述至少一个机械手包括线性机械手,所述线性机械手能操作以沿着延伸的长度路径在所述EFEM的所述外壳内移动晶片并且传送晶片通过所述第一侧壁晶片端口和所述第二侧壁晶片端口;
(b)所述EFEM包括在其外壳中的晶片存储部,所述晶片存储部能操作以存储经处理或未经处理的晶片,所述至少一个机械手能操作以传送晶片到所述晶片存储部或从所述晶片存储部传送晶片;
(c)所述至少一个机械手包括适于从附连到晶片装载端口的第一FOUP移除晶片的第一机械手和能操作以将晶片存储在附连到晶片装载端口的第二FOUP;
(d)所述至少一个机械手沿着介于所述第一侧壁和所述第二侧壁之间的行进路径能移动;
(e)所述至少一个机械手沿着介于所述前壁和所述后壁之间的行进路径能移动;
(f)所述EFEM包括在其外壳中的晶片校准器,所述至少一个机械手能操作以往来于所述晶片校准器传送晶片,其中所述晶片校准器能操作以提供在旋转方向和线性径向方向上的晶片运动,使得在所述晶片被传送到所述前壁集群处理工具或相应的附连到所述第一或第二侧壁晶片端口的半导体晶片处理模块之前,所述晶片能够居中;和/或
(g)所述EFEM包括能操作以提供经过滤的空气到所述EFEM的所述受控环境的风机过滤单元;和/或
(h)相应的半导体晶片处理模块附连到所述至少两个第一侧壁晶片端口和所述至少两个第二侧壁晶片端口中的相应的至少一个。
15.根据权利要求13所述的晶片处理系统,其进一步包括:
(a)控制器,其被配置成控制由所述系统执行的处理;
(b)非暂态计算机机器可读介质,其包括用于所述系统的控制的程序指令;
(c)高架式晶片传送(OHT)系统,其中所述EFEM的高度设置成使得OHT系统能够在所述EFEM的所述顶壁上在所述EFEM的所述前壁和所述后壁之间传送FOUP;所述OHT系统能操作以升起、降低和旋转所述FOUP,使得所述FOUP能与所述EFEM的相应的晶片装载端口校准;和/或
(d)光学临界尺寸测量工具,其被包括在所述第一或第二前壁装载锁内。
16.一种在权利要求8所述的系统内处理晶片的方法,所述方法包括:
(a)从FOUP传送晶片到所述EFEM的受控环境;
(b)从所述EFEM的所述受控环境传送所述晶片通过所述第一或第二前壁晶片端口到所述前壁集群处理工具的真空环境,其中所述晶片在所述前壁集群处理工具的一个或多个处理模块内经受一个或多个处理操作;
(c)从所述前壁集群处理工具传送所述晶片通过所述EFEM的所述第一或第二前壁晶片端口到所述EFEM的所述受控环境;
(d)传送所述晶片通过所述EFEM的所述第一后壁晶片端口到所述后壁集群处理工具,其中所述晶片在所述后壁集群处理工具的一个或多个处理模块内经受一个或多个处理操作;
(e)从所述后壁集群处理工具传送所述晶片通过所述EFEM的所述第一后壁晶片端口到所述EFEM的所述受控环境;并且
其中步骤(b)-(e)被执行一次或多次。
17.根据权利要求16所述的方法,其进一步包括:
(a)传送所述晶片到所述EFEM的晶片存储部;
(b)传送所述晶片到晶片校准器并且在其上使晶片居中;
(c)在所述第一或第二前壁装载锁内在晶片上执行光学临界尺寸测量处理,同时从所述EFEM的所述受控环境传送所述晶片到所述前壁集群处理工具的所述真空环境;和/或
(e)从所述EFEM的所述受控环境传送所述晶片到在所述EFEM的晶片装载端口所接收的FOUP。
18.一种在权利要求13的系统内处理晶片的方法,所述方法包括:
(a)从FOUP传送晶片到所述EFEM的受控环境;
(b)从所述EFEM的所述受控环境传送所述晶片通过所述第一或第二前壁晶片端口到所述前壁集群处理工具的真空环境,其中所述晶片在所述前壁集群处理工具的一个或多个处理模块内经受一个或多个处理操作;
(c)从所述前壁集群处理工具传送所述晶片通过所述EFEM的所述第一或第二前壁晶片端口到所述EFEM的所述受控环境;
(d)传送所述晶片通过所述至少两个第一侧壁晶片端口中的一个或所述至少两个第二侧壁晶片端口中的一个到其相应的处理模块,其中在所述处理模块内执行处理操作;
(e)传送所述晶片回到所述EFEM的所述受控环境;以及
其中执行步骤(b)-(e)一次或多次,和/或执行步骤(d)-(e)一次或多次。
19.根据权利要求18所述的方法,其进一步包括:
(a)传送所述晶片到所述EFEM的晶片存储部;
(b)传送所述晶片到晶片校准器并且在其上使晶片居中;
(c)在所述第一或第二前壁装载锁中在晶片上执行光学临界尺寸测量处理,同时从所述EFEM的所述受控环境传送晶片到所述前壁集群处理工具的所述真空环境;和/或
(e)从所述EFEM的所述受控环境传送所述晶片到在所述EFEM的晶片装载端口处所接收的FOUP。
CN201510672713.7A 2014-10-17 2015-10-16 用于传送晶片的设备前端模块以及传送晶片的方法 Active CN105529293B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,623 US9818633B2 (en) 2014-10-17 2014-10-17 Equipment front end module for transferring wafers and method of transferring wafers
US14/517,623 2014-10-17

Publications (2)

Publication Number Publication Date
CN105529293A true CN105529293A (zh) 2016-04-27
CN105529293B CN105529293B (zh) 2020-10-02

Family

ID=55749620

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510672713.7A Active CN105529293B (zh) 2014-10-17 2015-10-16 用于传送晶片的设备前端模块以及传送晶片的方法

Country Status (4)

Country Link
US (1) US9818633B2 (zh)
KR (1) KR102463977B1 (zh)
CN (1) CN105529293B (zh)
TW (1) TWI685909B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108346599A (zh) * 2017-01-24 2018-07-31 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
CN108389814A (zh) * 2018-03-02 2018-08-10 爱佩克斯(北京)科技有限公司 一种旋干机用带有引导架的治具
CN109994401A (zh) * 2017-12-29 2019-07-09 长鑫存储技术有限公司 半导体设备前端模块、半导体设备及晶圆处理方法
CN111092039A (zh) * 2019-12-30 2020-05-01 武汉大学 一种晶片高效传输系统

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3596752A4 (en) 2017-03-15 2021-01-06 LAM Research Corporation SPACE-SAVING PLATFORM ARCHITECTURE WITH LINEAR VACUUM TRANSFER MODULE
WO2018236544A1 (en) * 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN111742400A (zh) * 2018-02-15 2020-10-02 朗姆研究公司 移动衬底传送室
JP6897865B2 (ja) * 2018-03-27 2021-07-07 村田機械株式会社 搬送システム、搬送コントローラ、及び、搬送車の制御方法
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
US11348816B2 (en) * 2018-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for die container warehousing
KR20230037350A (ko) 2021-09-09 2023-03-16 삼성전자주식회사 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1845306A (zh) * 2005-12-16 2006-10-11 北京圆合电子技术有限责任公司 一种半导体晶片加工的传输平台
CN103681419A (zh) * 2012-09-12 2014-03-26 朗姆研究公司 与半导体处理设备相关的方法和系统
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
TW201419439A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 連結型真空處理工具及使用該工具之方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
AU8675798A (en) 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US7278812B2 (en) * 1999-01-27 2007-10-09 Shinko Electric Co., Ltd. Conveyance system
US6543981B1 (en) 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US7309618B2 (en) 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7680559B2 (en) 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7536538B1 (en) 2005-03-31 2009-05-19 Lam Research Corporation Cluster tools for processing substrates using at least a key file
US7604449B1 (en) 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US10163667B2 (en) 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US7798388B2 (en) 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8317934B2 (en) 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP5901978B2 (ja) 2011-04-11 2016-04-13 株式会社日立国際電気 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
US20140119858A1 (en) 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1845306A (zh) * 2005-12-16 2006-10-11 北京圆合电子技术有限责任公司 一种半导体晶片加工的传输平台
TW201419439A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 連結型真空處理工具及使用該工具之方法
CN103681419A (zh) * 2012-09-12 2014-03-26 朗姆研究公司 与半导体处理设备相关的方法和系统
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108346599A (zh) * 2017-01-24 2018-07-31 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
US11643744B2 (en) 2017-01-24 2023-05-09 Spts Technologies Limited Apparatus for electrochemically processing semiconductor substrates
CN108346599B (zh) * 2017-01-24 2024-03-08 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
CN109994401A (zh) * 2017-12-29 2019-07-09 长鑫存储技术有限公司 半导体设备前端模块、半导体设备及晶圆处理方法
CN109994401B (zh) * 2017-12-29 2021-07-23 长鑫存储技术有限公司 半导体设备前端模块、半导体设备及晶圆处理方法
CN108389814A (zh) * 2018-03-02 2018-08-10 爱佩克斯(北京)科技有限公司 一种旋干机用带有引导架的治具
CN108389814B (zh) * 2018-03-02 2020-06-12 爱佩克斯(北京)科技有限公司 一种旋干机用带有引导架的治具
CN111092039A (zh) * 2019-12-30 2020-05-01 武汉大学 一种晶片高效传输系统
CN111092039B (zh) * 2019-12-30 2022-04-15 武汉大学 一种晶片传输系统

Also Published As

Publication number Publication date
US9818633B2 (en) 2017-11-14
KR20160045610A (ko) 2016-04-27
TW201626494A (zh) 2016-07-16
TWI685909B (zh) 2020-02-21
US20160111309A1 (en) 2016-04-21
CN105529293B (zh) 2020-10-02
KR102463977B1 (ko) 2022-11-04

Similar Documents

Publication Publication Date Title
CN105529293A (zh) 用于传送晶片的设备前端模块以及传送晶片的方法
JP7440592B2 (ja) 最適化された低エネルギ/高生産性の蒸着システム
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
KR102577199B1 (ko) 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)
CN105551998A (zh) 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法
CN107731710B (zh) 用于衬底处理系统的装载站和衬底处理工具
KR20210071094A (ko) 워크피스 처리를 위한 시스템 및 방법
TW202301525A (zh) 用於工件處理之系統與方法
KR20240134280A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant