TWI685909B - 用以移動晶圓之設備前端模組及方法 - Google Patents

用以移動晶圓之設備前端模組及方法 Download PDF

Info

Publication number
TWI685909B
TWI685909B TW104133446A TW104133446A TWI685909B TW I685909 B TWI685909 B TW I685909B TW 104133446 A TW104133446 A TW 104133446A TW 104133446 A TW104133446 A TW 104133446A TW I685909 B TWI685909 B TW I685909B
Authority
TW
Taiwan
Prior art keywords
wafer
efem
back wall
wall
port
Prior art date
Application number
TW104133446A
Other languages
English (en)
Other versions
TW201626494A (zh
Inventor
托爾斯滕 立爾
瓦西德 瓦海地
康迪 克里斯多芬森
安祖 D 貝利三世
美華 沈
朗傑斯 拉哈凡
蓋瑞 波特曼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201626494A publication Critical patent/TW201626494A/zh
Application granted granted Critical
Publication of TWI685909B publication Critical patent/TWI685909B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67356Closed carriers specially adapted for containing chips, dies or ICs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

有助於轉移晶圓往返晶圓處理模組的設備前端模組(EFEM,equipment front end module)包含於其內具有受控環境的封閉體,該封閉體係由前壁、背壁、第一及第二側壁、頂壁、以及底壁所界定。第一側壁及第二側壁包含二或更多晶圓裝載埠,其中每一晶圓裝載埠係用於接受前開式晶圓傳送盒(FOUP,front opening unified pod)。前壁包含配置成附接至各個裝載室的晶圓埠,該裝載室係可操作成容許晶圓被轉移至前壁叢集處理工具(front wall cluster processing tool)。背壁包含用以與背壁叢集處理工具呈操作關係的晶圓埠。EFEM封閉體中之自動機器係可操作成轉移晶圓通過晶圓裝載埠、第一前壁晶圓埠、第二前壁晶圓埠、及背壁晶圓埠。

Description

用以移動晶圓之設備前端模組及方法
本發明相關於晶圓處理系統,且可在晶圓處理系統之設備前端模組中找到特定的用處。
在晶圓處理系統中,使用不同類型的工具,以在晶圓(亦即,半導體基板)的處理期間執行數以百計的處理操作。該等操作的多數者係在晶圓處理系統之真空室(亦即,處理腔室)中於極低的壓力下執行。其他操作係在已過濾空氣或惰性氣體之受控環境中於大氣壓力下執行。將晶圓引入至具有晶圓處置系統的處理腔室,該晶圓處置系統係機械地耦接至各個處理腔室。晶圓處置系統自廠區轉移晶圓至處理腔室。舉例而言,晶圓處置系統可包含:設備前端模組(EFEMs,equipment front end modules),其係可操作成將晶圓自前開式晶圓傳送盒(FOUP,front opening unified pod)轉移至進行處理的晶圓處理系統並重回FOUP;以及裝載室(load lock),其將晶圓自大氣條件帶至極低壓力條件(例如,真空條件)並重回大氣條件,其中自動機器將晶圓轉移至晶圓處理系統中的各種位置。產能(亦即,在一段時間內受處理之晶圓的數量)係藉由晶圓處理時間、在給定時間點受處理之晶圓的數量、以及將晶圓引入至真空腔室中之步驟的計時而受到影響。因此增加產能之改善的方法和設備係需要的。
此處揭露有助於轉移半導體晶圓往返半導體晶圓處理模組的設備前端模組(EFEM, equipment front end module)。EFEM包含封閉體,該封閉體係於其內具有受控環境,其中封閉體係由前壁、背壁、前壁與背壁之間的第一及第二側壁、頂壁、以及底壁所界定。第一側壁、第二側壁、頂壁、或者底壁包含二或更多晶圓裝載埠,其中每一晶圓裝載埠係用於接受來自晶圓轉移系統的前開式晶圓傳送盒(FOUP, front opening unified pod),該晶圓轉移系統係配置成轉移FOUP至各個晶圓裝載埠。前壁包含第一前壁晶圓埠及第二前壁晶圓埠。第一和第二前壁晶圓埠係配置成附接至各個第一和第二前壁裝載室,該裝載室係可操作成容許自EFEM之受控環境轉移晶圓至前壁叢集處理工具(front wall cluster processing tool)之真空環境、或者自前壁叢集處理工具之真空環境至EFEM之受控環境。背壁包含用以與背壁叢集處理工具呈操作關係的第一背壁晶圓埠。EFEM之封閉體中至少一自動機器係可操作成轉移晶圓通過晶圓裝載埠、第一前壁晶圓埠、第二前壁晶圓埠、及背壁晶圓埠。
此處也揭露有助於轉移半導體晶圓往返處理模組的設備前端模組(EFEM)。EFEM包含封閉體,該封閉體係於其內具有受控環境,其中封閉體係由前壁、背壁、前壁與背壁之間的第一及第二側壁、頂壁、以及底壁所界定。第一側壁、第二側壁、頂壁、或者底壁包含二或更多晶圓裝載埠,其中每一晶圓裝載埠係用於接受來自晶圓轉移系統的前開式晶圓傳送盒(FOUP),該晶圓轉移系統係配置成轉移FOUP至各個晶圓裝載埠。前壁包含第一前壁晶圓埠及第二前壁晶圓埠。第一及第二前壁晶圓埠係配置成附接至各個第一及第二前壁裝載室,該裝載室係可操作成容許自EFEM之受控環境轉移晶圓至前壁叢集處理工具(front wall cluster processing tool)之真空環境、或者自前壁叢集處理工具之真空環境至EFEM之受控環境。第一側壁包含至少兩個第一側壁晶圓埠,且第二側壁包含至少兩個第二側壁晶圓埠,其中該至少兩個第一側壁晶圓埠及該至少兩個第二側壁晶圓埠係各配置成附接至各個半導體晶圓處理模組。EFEM之封閉體中至少一自動機器係可操作成轉移晶圓通過晶圓裝載埠、第一前壁晶圓埠、第二前壁晶圓埠、該至少兩個第一側壁晶圓埠、及該至少兩個第二側壁晶圓埠。
在以下實施方式中,提出許多具體的實施例,以提供對此處所揭露之系統、設備、及方法的透徹理解。然而,正如熟悉本領域者將明白,本實施例可在缺少該等具體細節的條件下而實施、或者可藉由使用替代的元件或製程而實施。在其它情形中,已為人所熟知製程、步驟、及/或構件並未進行詳細描述,以免不必要地模糊此處所揭露實施例的實施態樣。圖示中相似的數字表示相似的元件。如此處所使用,用語「約」指的是±10%。
此處所揭露之本實施例包含晶圓處理系統,該晶圓處理系統包含有助於轉移半導體晶圓往返與EFEM呈操作關係之半導體晶圓處理模組的設備前端模組(EFEM, equipment front end modules)。參考圖1,根據此處所揭露實施例,可將半導體晶圓(基板)處理系統10定位於清潔室12中,其中晶圓處理系統10內之大氣壓係受到控制,以使晶圓在晶圓處理之前、期間、及之後對清潔室12之環境的暴露最小化(若無法預防的話)。晶圓處理系統10包含EFEM 100。EFEM 100包含封閉體110,該封閉體110係於其內具有受控環境,其中封閉體110係由前壁120、背壁121、延伸於前壁120與背壁121之間的第一側壁122、延伸於前壁120與背壁121之間的第二側壁123、頂壁(未顯示)、以及底壁(未顯示)所界定。如此處所描述之受控環境可包含已過濾空氣的環境(例如,周圍的已過濾空氣)、或者如氮之惰性氣體的環境,其中受控環境可係處於大氣壓力,或者處於比大氣壓力更大或更小的壓力(例如,真空壓力)。
第一側壁122、第二側壁123、頂壁、或底壁可包含二或更多晶圓裝載埠130,其中每一晶圓裝載埠130係用於接受來自空中晶圓轉移系統(未顯示)的前開式晶圓傳送盒(FOUP, front opening unified pod)135,該空中晶圓轉移系統係配置成轉移FOUP 135至各個晶圓裝載埠130。FOUP 135係設計成固持半導體晶圓(例如,通常是矽晶圓(Si),卻也可包含由元素半導體材料(如鍺(Ge))、或化合物半導體材料(如砷化鎵(GaAs)或砷化銦(InAs))所形成的各種其他晶圓類型)的塑膠封閉體的類型)。FOUP 135在FOUP 135之受控環境中牢固地、及安全地固持晶圓(未顯示)。每一晶圓裝載埠130可包含與其附接之各個FOUP 135,然而並非每一晶圓裝載埠130具有與其附接之各個FOUP 135(亦即,僅一些晶圓裝載埠130可包含與其附接之各個FOUP 135)。在一實施例中,EFEM 100之受控環境可處於真空壓力,同樣地,其中附接至晶圓裝載埠130的FOUP 135係在真空壓力下。
如圖1中所描述,第一側壁122包含用以各接受各個FOUP 135的兩個晶圓裝載埠130,且第二側壁123包含用以各接受各個FOUP 135的兩個晶圓裝載埠130。前壁120包含第一前壁晶圓埠140及第二前壁晶圓埠145。第一及第二前壁晶圓埠140、145可各附接(亦即,與之接合)至各個第一及第二前壁裝載室141、146,該第一及第二前壁裝載室141、146係可操作成容許自EFEM 100之受控環境轉移晶圓至前壁叢集處理工具160之前壁真空轉移模組148之真空環境、或者自前壁叢集處理工具160之前壁真空轉移模組148之真空環境至EFEM 100之受控環境。
EFEM 100之封閉體110中的至少一自動機器(未顯示)係可操作成自附接至各個晶圓裝載埠130之FOUP 135轉移晶圓至第一或第二前壁裝載室141、146。第一及/或第二前壁裝載室141、146可包含與其呈流體連通的真空泵(未顯示),使得真空泵可降低各個裝載室141、146中之壓力,以容許後續轉移晶圓至前壁真空轉移模組148。前壁真空轉移模組148之真空轉移自動機器(未顯示)係可操作成自各個第一或第二前壁裝載室141、146轉移晶圓至前壁叢集處理工具160的一或更多前壁處理模組200,其中一或更多處理操作可在各個晶圓上執行。
已在晶圓上執行一或更多處理操作之後,可藉由前壁真空轉移模組148之真空轉移自動機器將晶圓通過第一或第二前壁裝載室141、146轉移回來,其中可增加各個前壁裝載室141、146之內部中的壓力,使得EFEM 100之至少一自動機器可自各個第一或第二前壁裝載室141、146轉移晶圓重回至EFEM 100之受控環境。在較佳的實施例中,第一前壁裝載室141可專用於自EFEM 100之受控環境轉移晶圓至前壁真空轉移模組148之真空環境,而第二前壁裝載室146係專用於自前壁真空轉移模組148之真空環境轉移晶圓至EFEM 100之受控環境,或反之亦然。包含裝載室、真空轉移模組、處理模組、及相關自動機器的叢集處理工具之例示性實施例可在共同受讓的美國專利第6,321,134及7,682,462號中找到,其整體內容係特此併入以供參考。
EFEM 100之背壁121包含第一背壁晶圓埠170。第一背壁晶圓埠170係用以與背壁叢集處理工具190呈操作關係。背壁叢集處理工具190在該背壁叢集處理工具190中可包含具有受控環境(例如,已過濾空氣或如氮之惰性氣體的受控環境)的背壁轉移模組198,其中轉移模組198係與一或更多背壁處理模組205呈操作關係。EFEM 100之封閉體中至少一自動機器係可操作成轉移晶圓通過背壁晶圓埠170至背壁轉移模組198之受控環境,其中背壁轉移模組198係可操作成轉移晶圓至一或更多背壁處理模組205,其中一或更多製程操作可在各個晶圓上執行。在一實施例中,至少一自動機器可包含線性自動機器,該線性自動機器係可操作成沿著通過第一背壁晶圓埠170之延伸長度路徑(見圖4)移動晶圓至背壁轉移模組198,以轉移晶圓往返背壁叢集處理工具190之背壁處理模組205。
前壁處理模組200及背壁處理模組205可在晶圓上執行如晶圓蝕刻、材料沉積、及晶圓清洗操作的處理操作,該處理操作包含的操作如:電漿蝕刻、原子層蝕刻(ALE, atomic layer etching)、物理氣相沉積(PVD, physical vapor deposition )、化學氣相沉積(CVD, chemical vapor deposition)、電漿加強化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)、原子層沉積(ALD, atomic layer deposition)、電漿加強原子層沉積(PEALD, plasma enhanced atomic layer deposition)、脈衝沉積層(PDL, pulsed deposition layer)、分子層沉積(MLD, molecular layer deposition)、電漿加強脈衝沉積層(PEPDL, plasma enhanced pulsed deposition layer)處理、光阻移除、即時計量(in-situ metrology)、乾式清洗、濕式晶圓清洗、離子束蝕刻(IBE, ion beam etching)、及濕式蝕刻(如化學蝕刻)。在一實施例中,前壁處理模組200較佳地在晶圓上執行材料沉積操作及/或晶圓蝕刻操作,而背壁處理模組205在晶圓上執行如濕式清洗操作的晶圓清洗操作。在一實施例中,前壁叢集處理工具160可包含多達六個前壁處理模組200(例如,三個、四個、五個、或六個前壁處理模組200),且背壁叢集處理工具可包含多達十六個背壁處理模組205。因此,可操作成轉移晶圓往返前壁叢集處理工具160與背壁叢集處理工具190、而不從晶圓處理系統10之受控環境移除晶圓的EFEM 100的實施例容許以操作關係設置數個處理模組200及205,從而容許若干處理步驟或操作在單一的晶圓處理系統10中局域地執行,從而增加晶圓處理系統10的利用率、增加晶圓處理系統10的產能、並且降低正受處理之晶圓的循環時間,這是因為在晶圓處理系統10中,可在晶圓上執行複數的處理操作,而不必轉移晶圓至單獨分離晶圓處理系統10,以進行進一步的處理操作。
在一實施例中,背壁叢集處理工具之背壁轉移模組可係背壁真空轉移模組。在此實施例中,EFEM之第一背壁晶圓埠可被附接至各個第一背壁裝載室,該第一背壁裝載室係可操作成容許自EFEM之受控環境轉移晶圓至背壁叢集處理工具之真空環境、或者自背壁叢集處理工具之真空環境至EFEM之受控環境。舉例而言,圖2說明晶圓處理系統10,其中EFEM 100包含第一背壁晶圓埠170及第二背壁晶圓埠175。第一及第二背壁晶圓埠170、175可各附接至各個第一及第二背壁裝載室171、176,該第一及第二背壁裝載室171、176係可操作成容許自EFEM 100之受控環境轉移晶圓至背壁叢集處理工具190之背壁真空轉移模組198之真空環境、或者自背壁叢集處理工具190之背壁真空轉移模組198之真空環境至EFEM 100之受控環境。
EFEM 100之封閉體中至少一自動機器(未顯示)係可操作成自附接至各個晶圓裝載埠130之FOUP 135轉移晶圓至第一或第二背壁裝載室171、176。第一及/或第二背壁裝載室171、176可包含與其呈流體連通的真空泵(未顯示),使得真空泵可降低各個裝載室171、176中的壓力,以容許後續轉移晶圓至背壁真空轉移模組198。背壁真空轉移模組198之真空轉移自動機器(未顯示)係可操作成自各個第一或第二背壁裝載室171、176轉移晶圓至背壁叢集處理工具190的一或更多背壁處理模組205,其中一或更多處理操作(例如,材料沉積或電漿蝕刻操作)可在各個背壁處理模組205中各個晶圓上執行。
已在晶圓上執行一或更多處理操作之後,可藉由背壁真空轉移模組198之真空轉移自動機器將晶圓通過第一或第二背壁裝載室171、176轉移回來,其中可增加各個背壁裝載室171、176之內部中的壓力,使得EFEM 100之至少一自動機器可自各個第一或第二背壁裝載室171、176轉移晶圓重回至EFEM 100之受控環境。在較佳的實施例中,第一背壁裝載室171可專用於自EFEM 100之受控環境轉移晶圓至背壁真空轉移模組198之真空環境,而第二背壁裝載室176係專用於自背壁真空轉移模組198之真空環境轉移晶圓至EFEM 100之受控環境,或反之亦然。
在一實施例中,前壁裝載室141、146及/或背壁裝載室171、176可係堆疊裝載室,例如共同受讓的美國專利第8,430,620號中所揭露者,該美國專利第8,430,620號係以整體內容特此併入以供參考。若裝載室係堆疊的,則堆疊裝載室可與EFEM 100之各個堆疊晶圓埠呈操作關係。在進一步的實施例中,前壁裝載室141、146及/或背壁裝載室171、176可操作成在設置於該前壁裝載室141、146及/或背壁裝載室171、176內之晶圓上執行處理操作。
參考圖3A,EFEM 100之至少一自動機器在EFEM 100之封閉體110中可包含第一自動機器180與第二自動機器181。第一自動機器180可具有臂180a,且第二自動機器181可具有臂181a。第一自動機器180之臂180a之末端及第二自動機器181之臂181a之末端可各包含末端執行器,或替代的對偶末端執行器。末端執行器係連接至自動機器臂之末端的裝置或工具(例如,刀片、葉片、或夾具),該裝置或工具係可操作成在自動機器臂轉移半導體晶圓時支撐半導體晶圓。如此處所使用,末端執行器係與晶圓或其他基板物理接觸以轉移晶圓或其他基板的任何如此支撐件或裝置。末端執行器包含如刀片、葉片、或叉具之大致上平坦的支撐件,晶圓、以及將晶圓固持定位的裝置(如,夾具)坐落於該大致上平坦的支撐件上。包含末端執行器(例如,對偶末端執行器、或專用於轉移熱或冷的晶圓(已處理的或未處理的)的末端執行器)的自動機器臂的例示性實施例可在共同受讓的美國專利第8,430,620號中找到。
在一實施例中,第一自動機器180可操作成移除並轉移晶圓往返係附接至位於EFEM 100之第一側壁122上之各個晶圓裝載埠130的一或更多FOUP 135,而第二自動機器181可操作成移除並轉移晶圓往返附接至位於EFEM 100之第二側壁123上之各個晶圓裝載埠130的一或更多FOUP 135。在一實施例中,第一自動機器180可專用於自附接至晶圓轉載埠130之FOUP 135移除晶圓,並且第二自動機器181可專用於在附接至各個晶圓轉載埠130之FOUP 135中儲存晶圓。在此實施例中,第一自動機器180可專用於轉移未處理的晶圓,而第二自動機器181係專用於轉移已處理的晶圓。在一替代的實施例中,第一自動機器180可專用於轉移晶圓通過第一或第二前壁晶圓埠140、145,而第二自動機器181係專用於轉移晶圓通過第一或第二背壁晶圓埠170、175。
在一實施例中。EFEM 100在EFEM 100之封閉體110中可包含晶圓儲存部185。晶圓儲存部185係可操作成儲存已處理的或未處理的晶圓,其中第一自動機器180及/或第二自動機器181可操作成轉移晶圓往返晶圓儲存部。在一實施例中,EFEM 100在EFEM 100之封閉體110中可包含晶圓對準器201。第一自動機器180及/或第二自動機器181可操作成轉移晶圓往返晶圓對準器201,其中晶圓對準器201係可操作成提供旋轉方向及直線徑向上之晶圓移動,使得在轉移晶圓至前壁叢集處理工具(未顯示)或背壁叢集處理工具(未顯示)之處理模組(未顯示)之前,可將晶圓置於中心。晶圓對準器之例示性實施例可在共同受讓的美國專利第8,185,242號中找到,其整體內容係特此併入以供參考。
現在參考圖3B,EFEM 100在EFEM 100之封閉體110中可包含第一自動機器180,其中第一自動機器180可具有對偶臂180a及180b。對偶臂180a及180b在對偶臂180a及180b之各個末端可各具有末端執行器,或者替代地,在對偶臂180a及180b之各個末端可各具有對偶末端執行器。第一自動機器180之對偶臂180a及180b可操作成移除並轉移晶圓往返一或更多FOUP 135,該一或更多FOUP 135係附接至位於EFEM 100之第一側壁122上、或位於EFEM 100之第二側壁123上之各個晶圓裝載埠130。在一替代的實施例中,第一自動機器180之臂180a可專用於移除並轉移晶圓往返附接至位於EFEM 100之第一側壁122上之各個晶圓裝載埠130的一或更多FOUP 135,而第一自動機器180之臂180b可專用於移除並轉移晶圓往返附接至位於EFEM 100之第二側壁123上之各個晶圓裝載埠130的一或更多FOUP 135。
在一實施例中,EFEM 100之至少一自動機器可包含線性自動機器,該線性自動機器係可操作成沿著在前壁120與背壁121之間延伸之行進路徑、或者替代地沿著延伸於第一側壁122與第二側壁123之間之行進路徑移動晶圓。舉例而言,如圖3C中所說明,EFEM 100可包含第一線性自動機器180與第二線性自動機器181,其中第一線性自動機器180可沿著前壁120與背壁121之間之第一行進路徑182移動,且第二線性自動機器181可沿著前壁120與背壁121之間之第二行進路徑183移動。在一替代的實施例中,第一線性自動機器180及/或第二線性自動機器181可沿著第一側壁122與第二側壁123之間之各個行進路徑移動。
圖4根據此處所揭露之實施例,說明晶圓處理系統10。晶圓處理系統10包含有助於轉移半導體晶圓往返與EFEM 100呈操作關係之處理模組的EFEM 100,其中一或更多製程操作係在各個晶圓上執行。EFEM 100包含封閉體110,該封閉體110在其之中具有受控環境,其中封閉體110係由前壁120、背壁121、前壁120與背壁121之間第一側壁122、前壁120與背壁121之間第二側壁123、頂壁(未顯示)、以及底壁(未顯示)所界定。第一側壁、第二側壁、頂壁、或者底壁可包含二或更多晶圓裝載埠130,其中每一晶圓裝載埠130係用於接受來自空中晶圓轉移系統(未顯示)的FOUP 135,該晶圓轉移系統係配置成轉移FOUP 135至EFEM 100之各個晶圓裝載埠130。舉例而言,如圖4中所顯示,EFEM 100之第一側壁122包含兩晶圓裝載埠130,且EFEM 100之第二側壁123包含兩晶圓裝載埠130。
EFEM 100之前壁包含第一前壁晶圓埠140及第二前壁晶圓埠145。第一和第二前壁晶圓埠140、145可附接至各個第一和第二前壁裝載室141、146,該第一和第二前壁裝載室141、146係可操作成容許自EFEM 100之受控環境轉移晶圓至前壁叢集處理工具160之前壁真空轉移模組148之真空環境、或者自前壁叢集處理工具160之前壁真空轉移模組148之真空環境至EFEM 100之受控環境。EFEM 100之第一側壁122包含至少兩個第一側壁晶圓埠122a,且第二側壁123包含至少兩個第二側壁晶圓埠123a。該至少兩個第一側壁晶圓埠122a及該至少兩個第二側壁晶圓埠123a可各附接至各個EFEM半導體晶圓處理模組(EFEM 處理模組)105,舉例而言,該EFEM半導體晶圓處理模組(EFEM 處理模組)105可操作成執行濕式晶圓清洗操作。濕式晶圓清洗操作之例示性實施例可在共同受讓的美國專利第8,388,762號中找到,其整體內容係特此併入以供參考。
EFEM 100在EFEM 100之封閉體110中包含至少一自動機器,如可操作成轉移晶圓通過以下者的線性自動機器180:晶圓裝載埠130、第一前壁晶圓埠140、第二前壁晶圓埠145、至少兩個第一側壁晶圓埠122a、及至少兩個第二側壁晶圓埠123a。舉例而言,如圖4中所顯示,EFEM 100包含各被直接附接至各個EFEM處理模組105的四個第一側壁晶圓埠122a、以及被各被直接附接至各個EFEM處理模組105的四個第二側壁晶圓埠123a,其中線性自動機器180可在行進路徑182上行進,使得線性自動機器可轉移晶圓通過以下者:四個第一側壁晶圓埠122a、四個第二側壁晶圓埠123a、晶圓裝載埠130、第一前壁晶圓埠140、第二前壁晶圓埠145、以及可選的第一及/或第二背壁晶圓埠170、175。若EFEM 100包含可選的背壁晶圓埠170、175,則如圖7中所示,該背壁晶圓埠170、175可與背壁叢集處理工具190呈操作關係。第一及第二背壁晶圓埠170、175可各附接至各個第一及第二背壁裝載室171、176,該第一及第二背壁裝載室171、176係可操作成容許自EFEM 100之受控環境轉移晶圓至背壁叢集處理工具190之背壁真空轉移模組198之真空環境、或者自背壁叢集處理工具190之背壁真空轉移模組198之真空環境至EFEM 100之受控環境。在一替代的實施例中(參考圖4或7),第一及第二側壁122、123可各包含多達八個各個側壁晶圓埠122a、123a,該側壁晶圓埠122a、123a的每一者係可操作成附接至各個EFEM處理模組105。進一步講,在一實施例中,二或更多晶圓埠122a、123a可與各個EFEM處理模組105相聯結。舉例而言,二或更多晶圓埠122a、123a可以二或更多列的形式進行堆疊,其中每一堆疊係與各個EFEM處理模組105相聯結,或者並排的二或更多晶圓埠122a、123a可與各個EFEM處理模組105相聯結。
在如圖5中所說明之晶圓處理系統10的一實施例中,空中晶圓轉移(OHT,overhead wafer transfer)系統210係可操作成轉移FOUP 135至EFEM 100之晶圓埠130。OHT系統210係可操作成使FOUP 135上升、降低、及旋轉,使得FOUP 135可與EFEM 100之各個晶圓裝載埠130對準,使得晶圓可藉由EFEM 100之至少一自動機器而自FOUP 135進行移除。較佳地,EFEM 100的高度係尺寸定義為使得OHT系統210可經由EFEM 100的頂壁而轉移FOUP135,該EFEM 100的頂壁係在EFEM 100之前壁120與後壁121之間。
EFEM 100可包含可操作成為EFEM 100供電的電子設備封閉體150,以及可操作成向EFEM 100之封閉體110之受控環境提供已過濾空氣的風扇過濾器單元137。包含風扇過濾器單元及電子設備封閉體150之EFEM的例示性實施例可在共同受讓的美國專利第8,282,698號中找到,其整體內容係特此併入以供參考。在一實施例中,附接至EFEM 100之晶圓埠的裝載室(例如,第一及/或第二前壁裝載室141、146)在該裝載室內可包含光學臨界尺寸計量工具300,使得晶圓之包含但不限於以下者的特徵部在已轉移晶圓至前壁叢集處理工具160之前或之後可受到量測:晶圓翹曲、或晶圓上膜的厚度(佈局)。替代地,第一及/或第二背壁裝載室171、176(見圖2)在該第一及/或第二背壁裝載室171、176中可包含光學臨界尺寸計量工具300,用以量測晶圓上包含但不限於以下者的晶圓特徵部:臨界尺寸、或膜厚度尺寸。光學臨界尺寸計量工具的例示性實施例可在共同受讓的美國專利第7,309,718及8,225,683號中找到,其整體內容係特此併入以供參考。
此處所揭露之晶圓處理系統10及相關EFEMs100可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該晶圓處理系統10及相關EFEM 100的運作。該電子設備可稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。舉例而言,如圖5中所說明,晶圓處理系統10及/或EFEM 100包含電子設備封閉體150及相關的控制器156。取決於處理需求及/或晶圓處理系統10的類型,控制器156可程式設計成控制此處所揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency )產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs,digital signal processors)、定義為特殊用途積體電路(ASICs,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)而定義操作參數。在一些實施例中,操作參數可以是由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:疊層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、以及/或者晶圓的晶粒。
在一些實施例中,控制器156可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間執行之處理步驟的每一者指定參數。應該理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器156可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,此處所描述之處理、及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處(亦即,晶圓處理系統10)、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性晶圓處理系統10可包含但不限於包含以下者之處理腔室:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD ,chemical vapor deposition )腔室或模組、原子層沉積(ALD ,atomic layer deposition )腔室或模組、原子層蝕刻(ALE ,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室(track chamber)或模組、以及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理設備或系統。
如以上所提及,取決於即將藉由晶圓處理系統10而執行之(複數)製程步驟,EFEM100之控制器156可與半導體加工工廠中的一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠、或自工具位置及/或裝載埠帶來晶圓容器。較佳地,非暫態電腦機器可讀媒體包含用以控制晶圓處理系統10的程式指令。
圖5說明晶圓處理系統10中正受處理之晶圓的路徑。較佳地,控制器156包含指定晶圓穿過晶圓處理系統10(該動作亦即晶圓轉移指令)所將採取之路徑的晶圓轉移指令。用以針對晶圓處理系統產生一組晶圓轉移指令的電腦實施方法,該電腦實施方法的例示性實施例可在共同受讓的美國專利第7,680,559號中找到,其整體內容係特此併入以供參考。
晶圓處理系統10中處理晶圓的方法可包含轉移晶圓之FOUP135至EFEM100之晶圓裝載埠130。然後,可藉由EFEM之至少一自動機器自FOUP135轉移晶圓至EFEM100之受控環境。然後該至少一自動機器可將晶圓自EFEM100之受控環境轉移通過第一或第二前壁晶圓埠140、145至前壁叢集處理工具160之真空環境,其中晶圓在前壁叢集處理工具160的一或更多處理模組中經受一或更多的處理操作,例如材料沉積、或電漿蝕刻。舉例而言,可將晶圓轉移至各個第一或第二前壁裝載室141、146,其中光學臨界尺寸計量工具300可量測晶圓的特徵部,而各個裝載室141、146則降低晶圓的壓力環境,使得後續可轉移晶圓至前壁叢集處理工具160之前壁真空轉移模組148,其中一或更多處理操作係在與該前壁真空轉移模組148呈處理關係之各個處理模組200中執行。
然後可自前壁叢集處理工具160轉移晶圓通過EFEM100之第一或第二前壁晶圓埠140、145至EFEM100之受控環境。然後可藉由EFEM100之至少一自動機器轉移晶圓通過EFEM100之第一背壁晶圓埠170至背壁叢集處理工具190,其中晶圓在背壁叢集處理工具190的一或更多背壁處理模組205中經受如清洗操作的一或更多處理操作。晶圓已藉由背壁叢集處理工具190的一或更多背壁處理模組205而進行處理之後,可自背壁叢集處理工具190轉移晶圓通過EFEM100之第一背壁晶圓埠170至EFEM100之受控環境。在處理期間,可轉移晶圓通過EFEM往返於前壁叢集處理工具160與背壁叢集處理工具190之間一或更多的次數。處理之後,便可將晶圓返回至附接至EFEM100之各個晶圓埠130的FOUP135,使得晶圓可自晶圓處理系統10移除。
圖6說明可在晶圓處理系統10中半導體晶圓上執行之處理操作的實施例。該處理操作可包含自FOUP轉移晶圓通過EFEM100至前壁叢集處理工具160之第一前壁處理模組200A,舉例而言,其中晶圓經受遮罩開窗操作。接下來,可轉移晶圓至第二前壁處理模組200B,舉例而言,其中離子束蝕刻(IBE, ion beam etching)係在晶圓上執行。然後可轉移晶圓至第三前壁處理模組200C,舉例而言,其中間隔層可在晶圓上進行沉積。然後可轉移晶圓至第四前壁處理模組200D,舉例而言,其中原子層蝕刻激活操作可執行。已執行原子層蝕刻激活後,可轉移晶圓通過EFEM100至背壁叢集處理工具190,使得背壁處理模組205可執行原子層蝕刻操作,以從晶圓移除材料。隨後可轉移晶圓通過EFEM100在第四前壁處理模組200D與背壁處理模組205之間往返,使得原子層蝕刻激活及原子層蝕刻操作可執行,直到已從晶圓移除期望量之材料為止。已從晶圓上移除期望量之材料後,可自EFEM轉移晶圓至各個FOUP,其中晶圓可自晶圓處理系統10移除。
儘管上述實施例已針對清楚理解之目的而作詳細地描述,但顯而易見的是,在所附申請專利範圍的範疇中,可實行某些變更及修改。因此,本實施例應視為舉例性而非限制性,且如此處所揭露之實施例不受限於此處所給出的細節,但可在所隨附申請專利範圍的範疇及均等物中進行修改。
10‧‧‧晶圓處理系統 12‧‧‧清潔室 100‧‧‧設備前端模組(EFEM) 105‧‧‧EFEM處理模組 110‧‧‧封閉體 120‧‧‧前壁 121‧‧‧背壁 122‧‧‧側壁 122a‧‧‧側壁晶圓埠 123‧‧‧側壁 123a‧‧‧側壁晶圓埠 130‧‧‧晶圓裝載埠 135‧‧‧前開式晶圓傳送盒(FOUP) 137‧‧‧風扇過濾器單元 140‧‧‧晶圓埠 141‧‧‧裝載室 145‧‧‧晶圓埠 146‧‧‧裝載室 148‧‧‧真空轉移模組 150‧‧‧電子設備封閉體 156‧‧‧控制器 160‧‧‧叢集處理工具 170‧‧‧晶圓埠 171‧‧‧裝載室 175‧‧‧晶圓埠 176‧‧‧裝載室 180‧‧‧自動機器 180a‧‧‧臂 180b‧‧‧臂 181‧‧‧自動機器 181a‧‧‧臂 182‧‧‧行進路徑 183‧‧‧行進路徑 185‧‧‧晶圓儲存部 190‧‧‧叢集處理工具 198‧‧‧背壁轉移模組 200‧‧‧處理模組 200A‧‧‧處理模組 200B‧‧‧處理模組 200C‧‧‧處理模組 200D‧‧‧處理模組 200E‧‧‧處理模組 201‧‧‧晶圓對準器 205‧‧‧處理模組 210‧‧‧空中晶圓轉移(OHT,overhead wafer transfer)系統 300‧‧‧光學臨界尺寸計量工具
圖1根據此處所揭露之實施例,顯示晶圓處理系統。
圖2根據此處所揭露之實施例,顯示晶圓處理系統。
圖3A-C根據此處所揭露之實施例,顯示晶圓處理系統之設備前端模組(EFEMs, equipment front end modules)。
圖4根據此處所揭露之實施例,顯示晶圓處理系統。
圖5根據此處所揭露之實施例,顯示晶圓處理系統。
圖6根據此處所揭露之實施例,顯示晶圓處理系統。
圖7根據此處所揭露之實施例,顯示晶圓處理系統。
10‧‧‧晶圓處理系統
12‧‧‧清潔室
100‧‧‧設備前端模組(EFEM)
110‧‧‧封閉體
120‧‧‧前壁
121‧‧‧背壁
122‧‧‧側壁
123‧‧‧側壁
130‧‧‧晶圓裝載埠
135‧‧‧前開式晶圓傳送盒(FOUP)
140‧‧‧晶圓埠
141‧‧‧裝載室
145‧‧‧晶圓埠
146‧‧‧裝載室
148‧‧‧真空轉移模組
160‧‧‧叢集處理工具
170‧‧‧晶圓埠
190‧‧‧叢集處理工具
198‧‧‧背壁轉移模組
200‧‧‧處理模組
205‧‧‧處理模組

Claims (19)

  1. 一種設備前端模組(EFEM,equipment front end module),其有助於轉移半導體晶圓往返半導體晶圓處理模組,該設備前端模組包含:一封閉體,其係由一前壁、一背壁、該前壁與該背壁之間的第一及第二側壁、一頂壁、以及一底壁所界定,且該封閉體係用以提供遍及該封閉體的單一受控環境;該第一側壁或該第二側壁包含二或更多晶圓裝載埠,其中每一晶圓裝載埠係用於接受來自一晶圓轉移系統的一前開式晶圓傳送盒(FOUP,front opening unified pod),該晶圓轉移系統係配置成轉移一FOUP至各個晶圓裝載埠;該前壁包含一第一前壁晶圓埠及一第二前壁晶圓埠,該第一及第二前壁晶圓埠係配置成附接至各個第一及第二前壁裝載室,該第一及第二前壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至一前壁叢集處理工具的一真空環境、或者自該前壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境;該背壁包含一第一背壁晶圓埠,該第一背壁晶圓埠係用以與一背壁叢集處理工具呈操作關係;以及該EFEM之該封閉體中至少一自動機器係可操作成轉移該晶圓通過該晶圓裝載埠、該第一前壁晶圓埠、該第二前壁晶圓埠、及該第一背壁晶圓埠。
  2. 如申請專利範圍第1項之設備前端模組(EFEM,equipment front end module),其中:(a)該第一背壁晶圓埠係附接至各個第一背壁裝載室,該第一背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處 理工具的一真空環境、或者自該背壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境;(b)該背壁包含一第二背壁晶圓埠,該第一及該第二背壁晶圓埠係配置成附接至各個第一及第二背壁裝載室,該第一及第二背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一真空環境、或者自該背壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境;或者(c)該背壁包含一第二背壁晶圓埠,該第一及該第二背壁晶圓埠係附接至各個第一及第二背壁裝載室,該第一及第二背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一真空環境、或者自該背壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境。
  3. 如申請專利範圍第1項之設備前端模組(EFEM,equipment front end module),其中該EFEM包含:(a)該EFEM之該封閉體中的一晶圓儲存部,該晶圓儲存部係可操作成儲存已處理的或未處理的晶圓,該至少一自動機器係可操作成轉移該晶圓往返該晶圓儲存部;(b)該EFEM之該封閉體中的一晶圓對準器,該至少一自動機器係可操作成轉移晶圓往返該晶圓對準器,其中該晶圓對準器係可操作成提供一旋轉方向及一直線徑向上之晶圓移動,使得在轉移該晶圓至該前壁叢集處理工具或該背壁叢集處理工具的一處理模組之前,可將該晶圓置於中心; (c)一風扇過濾器單元,其係可操作成提供已過濾空氣至該EFEM之該受控環境;以及/或者(d)一電子設備封閉體,其包含為該EFEM供電的電子設備。
  4. 如申請專利範圍第1項之設備前端模組(EFEM,equipment front end module),其中:(a)該EFEM係附接至該背壁叢集處理工具,並且該至少一自動機器係可操作成自該EFEM之該受控環境轉移該晶圓通過該第一背壁晶圓埠至背壁叢集處理工具的一受控環境,並且自該背壁叢集處理工具之該受控環境通過該第一背壁晶圓埠至該EFEM之該受控環境;(b)該EFEM係附接至該背壁叢集處理工具,並且該至少一自動機器包含一線性自動機器,該線性自動機器係可操作成沿著延伸通過該第一背壁晶圓埠之延伸長度路徑移動晶圓,並且轉移該晶圓往返該背壁叢集處理工具之處理模組;(c)該至少一自動機器包含可操作成自附接至晶圓裝載埠的一第一FOUP移除晶圓的一第一自動機器、以及操作成在附接至晶圓裝載埠的一第二FOUP中儲存晶圓的第二自動機器;(d)該至少一自動機器係可沿著該第一側壁與該第二側壁之間一行進路徑移動;以及/或者(e)該至少一自動機器係可沿著該前壁與該該背壁之間一行進路徑移動。
  5. 一種設備前端模組(EFEM,equipment front end module),其有助於轉移半導體晶圓往返處理模組,該設備前端模組包含: 一封閉體,其係由一前壁、一背壁、該前壁與該背壁之間的第一及第二側壁、一頂壁、以及一底壁所界定,且該封閉體係用以提供遍及該封閉體的單一受控環境;該第一側壁或該第二側壁包含二或更多晶圓裝載埠,其中每一晶圓裝載埠係用於接受來自一晶圓轉移系統的一前開式晶圓傳送盒(FOUP,front opening unified pod),該晶圓轉移系統係配置成轉移一FOUP至各個晶圓裝載埠;該前壁包含一第一前壁晶圓埠及一第二前壁晶圓埠,該第一及第二前壁晶圓埠係配置成附接至各個第一及第二前壁裝載室,該第一及第二前壁裝載室係可操作成容許自該EFEM之該受控環境轉移一晶圓至一前壁叢集處理工具的一真空環境、或者自該前壁叢集處理工具之該真空環境至該EFEM之該受控環境;該第一側壁包含至少兩個第一側壁晶圓埠,且該第二側壁包含至少兩個第二側壁晶圓埠,該至少兩個第一側壁晶圓埠及該至少兩個第二側壁晶圓埠係各配置成附接至各個半導體晶圓處理模組;以及該EFEM之該封閉體中至少一自動機器係可操作成轉移該晶圓通過該晶圓裝載埠、該第一前壁晶圓埠、該第二前壁晶圓埠、該至少兩個第一側壁晶圓埠、及該至少兩個第二側壁晶圓埠。
  6. 如申請專利範圍第5項之設備前端模組(EFEM,equipment front end module),其中:(a)該背壁包含用以與一背壁叢集處理工具呈操作關係的一第一背壁晶圓埠,其中該EFEM之該封閉體中該至少一自動機器係可操作成轉移該晶圓通過該第一背壁晶圓埠; (b)該背壁包含用以與一背壁叢集處理工具呈操作關係的一第一背壁晶圓埠,該第一背壁晶圓埠係附接至各個第一背壁裝載室,該第一背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一真空環境、或者自該背壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境,其中該EFEM之該封閉體中該至少一自動機器係可操作成轉移該晶圓通過該第一背壁晶圓埠;(c)該背壁包含用以與一背壁叢集處理工具呈操作關係的第一及第二背壁晶圓埠,該第一及該第二背壁晶圓埠係配置成附接至各個第一及第二背壁裝載室,該第一及第二背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一受控環境、或者自該背壁叢集處理工具之真空環境轉移至該EFEM之該受控環境,其中該EFEM之該封閉體中該至少一自動機器係可操作成轉移該晶圓通過該第一及第二背壁晶圓埠;或者(d)該背壁包含用以與一背壁叢集處理工具呈操作關係的第一及第二背壁晶圓埠,該第一及該第二背壁晶圓埠係附接至各個第一及第二背壁裝載室,該第一及第二背壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一受控環境、或者自該背壁叢集處理工具之該受控環境轉移至該EFEM之該受控環境,其中該EFEM之該封閉體中該至少一自動機器係可操作成轉移該晶圓通過該第一及第二背壁晶圓埠。
  7. 如申請專利範圍第5項之設備前端模組(EFEM,equipment front end module),其中: (a)該至少一自動機器包含一線性自動機器,該線性自動機器係可操作成沿著該EFEM之該封閉體中的一延伸長度路徑移動晶圓,並且轉移該晶圓通過該第一側壁晶圓埠及該第二側壁晶圓埠;(b)該EFEM在該EFEM之該封閉體中包含一晶圓儲存部,該晶圓儲存部係可操作成儲存已處理的或未處理的晶圓,該至少一自動機器係可操作成轉移該晶圓往返該晶圓儲存部;(c)該至少一自動機器包含用以自附接至晶圓裝載埠的一第一FOUP移除晶圓的一第一自動機器、以及操作成在附接至晶圓裝載埠的一第二FOUP中儲存晶圓的一第二自動機器;(d)該至少一自動機器係可沿著該第一側壁與該第二側壁之間一行進路徑移動;(e)該至少一自動機器係可沿著該前壁與該該背壁之間一行進路徑移動;(f)該EFEM在該EFEM之該封閉體中包含一晶圓對準器,該至少一自動機器係可操作成轉移晶圓往返該晶圓對準器,其中該晶圓對準器係可操作成提供一旋轉方向及一直線徑向上之晶圓移動,使得在轉移該晶圓至該前壁叢集處理工具的一處理模組、或者附接至該第一或第二側壁晶圓埠之各個半導體晶圓處理模組之前,可將該晶圓置於中心;(g)該EFEM包含可操作成提供已過濾空氣至該EFEM之該受控環境的一風扇過濾器單元;以及/或者(h)各個半導體晶圓處理模組係與該至少兩個第一側壁晶圓埠及該至少兩個第二側壁晶圓埠其中至少一各個者附接。
  8. 一種晶圓處理系統,其包含如申請專利範圍第1項之EFEM,其中該第一前壁晶圓埠及該第二前壁晶圓埠係各附接至該各個第一及第二前壁裝載室,該第一及第二前壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該前壁叢集處理工具的該真空環境、或者自該前壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境。
  9. 如申請專利範圍第8項之晶圓處理系統,其中:(a)該第一背壁晶圓埠係與各個第一背壁裝載室呈操作關係,該第一背壁裝載室係可操作成容許自該EFEM之該受控環境轉移一晶圓至該背壁叢集處理工具的一真空環境;(b)該背壁包含一第二背壁晶圓埠,該第一及該第二背壁晶圓埠係與各個第一及第二背壁裝載室成操作關係,該第一及第二背壁裝載室各係可操作成容許將晶圓自該EFEM之該受控環境轉移至該背壁叢集處理工具的一真空環境、並且自該背壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境;或者(c)該EFEM係附接至該背壁叢集處理工具,並且該至少一自動機器係可操作成自該EFEM之該受控環境轉移該晶圓通過該第一背壁晶圓埠至背壁叢集處理工具的一受控環境,並且自該背壁叢集處理工具之該受控環境通過該第一背壁晶圓埠至該EFEM之該受控環境。
  10. 如申請專利範圍第8項之晶圓處理系統,其中該EFEM包含:(a)該第一側壁中的二或更多晶圓裝載埠、以及該第二側壁中的二或更多晶圓裝載埠; (b)該EFEM之該封閉體中的一晶圓儲存部,該晶圓儲存部係可操作成在其之中儲存已處理的或未處理的晶圓,該至少一自動機器係可操作成轉移該晶圓往返該晶圓儲存部;(c)該EFEM之該封閉體中的一晶圓對準器,該至少一自動機器係可操作成轉移一晶圓往返該晶圓對準器,其中該晶圓對準器係可操作成提供一旋轉方向及一直線徑向上之晶圓移動,使得在轉移該晶圓至該前壁叢集處理工具或該背壁叢集處理工具的一處理模組之前,可將該晶圓置於中心;(d)一風扇過濾器單元,其係可操作成提供已過濾空氣至該EFEM之該受控環境;以及/或者(e)一電子設備封閉體,其包含為該EFEM供電的電子設備。
  11. 如申請專利範圍第8項之晶圓處理系統,其中:(a)該背壁叢集處理工具在該背壁叢集處理工具的一轉移模組中包含一延伸長度路徑及一線性自動機器,該線性自動機器係可操作成沿著該延伸長度路徑移動晶圓,並且轉移晶圓往返該背壁叢集處理工具之處理模組;以及/或者(b)下列其中之一者:該前壁叢集處理工具包含一前壁真空轉移模組、以及與該前壁真空轉移模組呈操作關係的一或更多處理模組,並且該背壁叢集處理工具包含於其內具有一受控環境的一背壁轉移模組、以及與該背壁轉移模組呈操作關係的一或更多處理模組;或者該背壁叢集處理工具包含一背壁真空轉移模組、以及與該背壁真空轉移模組呈操作關係的一或更多處理模組,並且該前壁叢集處理工具包含於其內具 有一受控環境的一前壁轉移模組、以及與該前壁轉移模組呈操作關係的一或更多處理模組。
  12. 如申請專利範圍第8項之晶圓處理系統,更包含:(a)一控制器,其係用以控制由該晶圓處理系統執行的製程;(b)一非暫態電腦機器可讀媒體,其包含用以控制該晶圓處理系統的程式指令;(c)一空中晶圓轉移(OHT,overhead wafer transfer)系統,其中該EFEM的高度係將尺寸定義為使得該OHT系統可將一FOUP轉移經過該EFEM之該前壁與背壁之間之該EFEM的該頂壁;該OHT系統係可操作成使該FOUP上升、降低、及旋轉,使得該FOUP可與該EFEM之各個晶圓裝載埠對準;以及/或者(d)一光學臨界尺寸計量工具,其係包含於該第一或第二前壁裝載室中。
  13. 一種晶圓處理系統,其包含如申請專利範圍第5項之EFEM,其中該第一前壁晶圓埠及該第二前壁晶圓埠係各附接至該各個第一及第二前壁裝載室,該第一及第二前壁裝載室係可操作成容許將晶圓自該EFEM之該受控環境轉移至該前壁叢集處理工具的該真空環境、或者自該前壁叢集處理工具之該真空環境轉移至該EFEM之該受控環境。
  14. 如申請專利範圍第13項之晶圓處理系統,其中:(a)該至少一自動機器包含一線性自動機器,該線性自動機器係可操作成沿著該EFEM之該封閉體中的一延伸長度路徑移動晶圓,並且轉移晶圓通過該第一側壁晶圓埠及該第二側壁晶圓埠; (b)該EFEM在該EFEM之該封閉體中包含一晶圓儲存部,該晶圓儲存部係可操作成儲存已處理的或未處理的晶圓,該至少一自動機器係可操作成轉移晶圓往返該晶圓儲存部;(c)該至少一自動機器包含用以自附接至晶圓裝載埠的一第一FOUP移除晶圓的一第一自動機器、以及操作成在附接至晶圓裝載埠的一第二FOUP中儲存晶圓的一第二自動機器;(d)該至少一自動機器係可沿著該第一側壁與該第二側壁之間一行進路徑移動;(e)該至少一自動機器係可沿著該前壁與該該背壁之間一行進路徑移動;(f)該EFEM在該EFEM之該封閉體中包含一晶圓對準器,該至少一自動機器係可操作成轉移晶圓往返該晶圓對準器,其中該晶圓對準器係可操作成提供一旋轉方向及一直線徑向上之晶圓移動,使得在轉移該晶圓至該前壁叢集處理工具的一處理模組、或者附接至該第一或第二側壁晶圓埠之各個半導體晶圓處理模組之前,可將該晶圓置於中心;(g)該EFEM包含可操作成提供已過濾空氣至該EFEM之該受控環境的一風扇過濾器單元;以及/或者(h)各個半導體晶圓處理模組係與該至少兩個第一側壁晶圓埠及該至少兩個第二側壁晶圓埠其中至少一各個者附接。
  15. 如申請專利範圍第13項之晶圓處理系統,更包含:(a)一控制器,其係用以控制由該晶圓處理系統執行的製程;(b)一非暫態電腦機器可讀媒體,其包含用以控制該晶圓處理系統的程式指令; (c)一空中晶圓轉移(OHT,overhead wafer transfer)系統,其中該EFEM的高度係將尺寸定義為使得該OHT系統可將一FOUP轉移經過該EFEM之該前壁與背壁之間之該EFEM的該頂壁;該OHT系統係可操作成使該FOUP上升、降低、及旋轉,使得該FOUP可與該EFEM之各個晶圓裝載埠對準;以及/或者(d)一光學臨界尺寸計量工具,其係包含於該第一或第二前壁裝載室中。
  16. 一種處理晶圓的方法,在如申請專利範圍第8項之晶圓處理系統中,該方法包含:(a)將一晶圓自一FOUP轉移至該EFEM的該受控環境;(b)將該晶圓自該EFEM之該受控環境轉移通過該第一或第二前壁晶圓埠至該前壁叢集處理工具的該真空環境,其中該晶圓在該前壁叢集處理工具的一或更多處理模組中經受一或更多處理操作;(c)將該晶圓自該前壁叢集處理工具轉移通過該EFEM之該第一或第二前壁晶圓埠至該EFEM之該受控環境;(d)將該晶圓轉移通過該EFEM之該第一背壁晶圓埠至該背壁叢集處理工具,其中該晶圓在該背壁叢集處理工具的一或更多處理模組中經受一或更多處理操作;(e)將該晶圓自該背壁叢集處理工具轉移通過該EFEM之該第一背壁晶圓埠至該EFEM之該受控環境;並且其中,將步驟(b)-(e)執行一或更多次。
  17. 如申請專利範圍第16項之處理晶圓的方法,更包含:(a)將該晶圓轉移至該EFEM的一晶圓儲存部; (b)將該晶圓轉移至一晶圓對準器,並且在該晶圓對準器上將一晶圓置於中心;(c)在將該晶圓自該EFEM之該受控環境轉移至該前壁叢集處理工具之該真空環境時,在該第一或第二前壁裝載室中的一晶圓上執行一光學臨界尺寸計量製程;以及/或者(d)將該晶圓自該EFEM之該受控環境轉移至一FOUP,該FOUP係接收於該EFEM的一晶圓裝載埠。
  18. 一種處理晶圓的方法,在如申請專利範圍第13項之晶圓處理系統中,該方法包含:(a)將一晶圓自一FOUP轉移至該EFEM的一受控環境;(b)將該晶圓自該EFEM之該受控環境轉移通過該第一或第二前壁晶圓埠至該前壁叢集處理工具的一真空環境,其中該晶圓在該前壁叢集處理工具的一或更多處理模組中經受一或更多處理操作;(c)將該晶圓自該前壁叢集處理工具轉移通過該EFEM之該第一或第二前壁晶圓埠至該EFEM之該受控環境;(d)將該晶圓轉移通過該至少兩個第一側壁晶圓埠或至少兩個第二側壁晶圓埠其中一者至該至少兩個第一側壁晶圓埠或至少兩個第二側壁晶圓埠之各個處理模組,其中在該處理模組中執行一處理操作;(e)將該晶圓轉移回至該EFEM的該受控環境,並且其中,將步驟(b)-(e)執行一或更多次,且/或將步驟(d)-(e)執行一或更多次。
  19. 如申請專利範圍第18項之處理晶圓的方法,更包含: (a)將該晶圓轉移至該EFEM的一晶圓儲存部;(b)將該晶圓轉移至一晶圓對準器,並且在該晶圓對準器上將一晶圓置於中心;(c)在將該晶圓自該EFEM之該受控環境轉移至該前壁叢集處理工具之該真空環境時,在該第一或第二前壁裝載室中的一晶圓上執行一光學臨界尺寸計量製程;以及/或者(d)將該晶圓自該EFEM之該受控環境轉移至一FOUP,該FOUP係接收於該EFEM的一晶圓裝載埠。
TW104133446A 2014-10-17 2015-10-13 用以移動晶圓之設備前端模組及方法 TWI685909B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,623 2014-10-17
US14/517,623 US9818633B2 (en) 2014-10-17 2014-10-17 Equipment front end module for transferring wafers and method of transferring wafers

Publications (2)

Publication Number Publication Date
TW201626494A TW201626494A (zh) 2016-07-16
TWI685909B true TWI685909B (zh) 2020-02-21

Family

ID=55749620

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133446A TWI685909B (zh) 2014-10-17 2015-10-13 用以移動晶圓之設備前端模組及方法

Country Status (4)

Country Link
US (1) US9818633B2 (zh)
KR (1) KR102463977B1 (zh)
CN (1) CN105529293B (zh)
TW (1) TWI685909B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
KR20230131969A (ko) 2017-03-15 2023-09-14 램 리써치 코포레이션 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)
WO2018236544A1 (en) * 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
CN109994401B (zh) * 2017-12-29 2021-07-23 长鑫存储技术有限公司 半导体设备前端模块、半导体设备及晶圆处理方法
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
WO2019161169A1 (en) * 2018-02-15 2019-08-22 Lam Research Corporation Moving substrate transfer chamber
CN108389814B (zh) * 2018-03-02 2020-06-12 爱佩克斯(北京)科技有限公司 一种旋干机用带有引导架的治具
CN111902347B (zh) * 2018-03-27 2022-06-28 村田机械株式会社 搬运系统、搬运控制器、以及搬运车的控制方法
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US11348816B2 (en) * 2018-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for die container warehousing
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
CN111092039B (zh) * 2019-12-30 2022-04-15 武汉大学 一种晶片传输系统
KR20230037350A (ko) 2021-09-09 2023-03-16 삼성전자주식회사 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
TW201419439A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 連結型真空處理工具及使用該工具之方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US7278812B2 (en) * 1999-01-27 2007-10-09 Shinko Electric Co., Ltd. Conveyance system
US6543981B1 (en) 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US7309618B2 (en) 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7680559B2 (en) 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7536538B1 (en) 2005-03-31 2009-05-19 Lam Research Corporation Cluster tools for processing substrates using at least a key file
US7604449B1 (en) 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
CN100383949C (zh) * 2005-12-16 2008-04-23 北京圆合电子技术有限责任公司 一种半导体晶片加工的传输平台
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
JP2010524201A (ja) 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド モジュラクラスタツール
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US7798388B2 (en) 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8317934B2 (en) 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP5901978B2 (ja) 2011-04-11 2016-04-13 株式会社日立国際電気 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
US20140119858A1 (en) 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201419439A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 連結型真空處理工具及使用該工具之方法
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer

Also Published As

Publication number Publication date
CN105529293A (zh) 2016-04-27
TW201626494A (zh) 2016-07-16
KR20160045610A (ko) 2016-04-27
KR102463977B1 (ko) 2022-11-04
US9818633B2 (en) 2017-11-14
US20160111309A1 (en) 2016-04-21
CN105529293B (zh) 2020-10-02

Similar Documents

Publication Publication Date Title
TWI685909B (zh) 用以移動晶圓之設備前端模組及方法
JP7440592B2 (ja) 最適化された低エネルギ/高生産性の蒸着システム
US11576264B2 (en) Electronic device manufacturing system
TWI713471B (zh) 用於對經由其中而運送的半導體基板進行熱控制的緩衝站與半導體基板的運送方法
TWI793000B (zh) 具有線性真空傳送模組之降低的覆蓋區域平台架構
KR20230010799A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처
JP2023509134A (ja) 基板処理システムのロボットアームの自動洗浄
JP2023516065A (ja) 基板処理ツール用の直線配置