TWI713471B - 用於對經由其中而運送的半導體基板進行熱控制的緩衝站與半導體基板的運送方法 - Google Patents
用於對經由其中而運送的半導體基板進行熱控制的緩衝站與半導體基板的運送方法 Download PDFInfo
- Publication number
- TWI713471B TWI713471B TW104134286A TW104134286A TWI713471B TW I713471 B TWI713471 B TW I713471B TW 104134286 A TW104134286 A TW 104134286A TW 104134286 A TW104134286 A TW 104134286A TW I713471 B TWI713471 B TW I713471B
- Authority
- TW
- Taiwan
- Prior art keywords
- vacuum
- semiconductor substrate
- transport module
- buffer
- operable
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/6773—Conveying cassettes, containers or carriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67769—Storage means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
用於對在半導體基板處理系統中的半導體基板進行熱控制的緩衝站設置成與該系統的第一及第二真空運送模組接合,以允許基板在其間運送。該緩衝站包含第一真空運送模組介面,配置以允許基板在第一真空運送模組與緩衝站之間運送;以及第二真空運送模組介面,配置以允許基板在第二真空運送模組與緩衝站之間運送。在第一與第二真空運送模組介面之間的至少一個緩衝腔室包括下支座,配置以接收半導體基板於其固持表面上。一或更多半導體基板儲存架位於下支座上方。各個架配置以從第一或第二真空運送模組接收基板並將各別的基板儲存於其上。
Description
本發明係關於緩衝站,且更具體而言,係關於在模組式半導體基板處理系統的真空運送模組之間用於對經由其中而運送的半導體基板進行熱控制的緩衝站。
在半導體基板(即晶圓)處理系統中之半導體基板的處理期間,使用不同類型的工具來執行數百項處理操作。大部分的這些操作係在極低的壓力下於半導體基板處理系統的真空腔室(即處理腔室)中執行。其他操作在大氣壓力下於過濾空氣或惰性氣體之受控制的環境中執行。使用機械性耦接至各別的處理腔室的半導體基板搬運系統來將半導體基板引入該等處理腔室中。該等半導體基板搬運系統將基板從廠區運送到處理腔室中。
半導體基板搬運系統可包括(例如)裝置前端模組(EFEMs),其可操作以將基板從前開式晶圓傳送盒(FOUP)運送到該半導體基板處理系統進行處理並送回該FOUP;負載閘,其用以使該等半導體基板從大氣狀態進入極低壓狀態(即真空狀態)並回到大氣狀態;以及真空運送模組,其可操作以將半導體基板運送進出該等負載閘以及與該等真空運送模組一起操作的半導體基板處理模
組。該半導體基板搬運系統可包括機器手臂,其用以將基板運送至該半導體基板處理系統的多個位置。產出量(即在一時間周期內被處理之基板的數量)受到基板處理時間、在一給定的時間內被處理之基板的數量、以及將基板引入真空腔室中之步驟的時程的影響。因此,需要提高產出量的改良方法與設備。
本文中揭露用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制的緩衝站。該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送。該緩衝站包含第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送。第二真空運送模組介面配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送。在該第一真空運送模組介面與該第二真空運送模組介面之間的至少一個緩衝腔室包括一下支座,配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上。該下支座可操作以對接收於其上的半導體基板執行加熱或冷卻操作。一或更多半導體基板儲存架位於該下支座的上方,各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上。
本文中亦揭露包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站的模組式半導體基板處理系統。該模組式半導體基板處理系統包含第一真空運送模組、第二真空運送模組、以及緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送。該緩衝站包含第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;以及第二真空運送模組介面,
可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送。在該第一真空運送模組介面與該第二真空運送模組介面之間的至少一個緩衝腔室包括一下支座,可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上。該下支座可操作以對接收於其上的半導體基板執行加熱或冷卻操作。一或更多半導體基板儲存架位於該下支座的上方,各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上。
本文中另外揭露透過緩衝站運送半導體基板的方法,該緩衝站位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間。該方法包含將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室。將該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上,其中對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作。將該半導體基板從該第一緩衝腔室中的下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組。
10:半導體基板處理系統
35:FOUP
50:EFEM
60:上負載閘
60a:上負載閘閥
60b:下負載閘閥
65:下負載閘
66:負載閘真空泵浦
100:緩衝站
110:真空運送模組介面
111:緩衝站質流控制器
120:真空運送模組介面
130:緩衝腔室
130a:第一緩衝腔室
130b:第二緩衝腔室
140:下支座
141:固持表面
142:氣體通道
143:出口
144:電極
145:加熱器層
146:升降銷
150:儲存架
156:控制器
160:隔熱屏
170:蓋部
180:對準銷
190:閥
195:閥
199:傳動器
200:第一真空運送模組
200a:第一真空運送模組閥
205:第一真空泵浦
210:第一真空運送質流控制器
250:閥
300:第二真空運送模組
300a:第二真空運送模組閥
305:第二真空泵浦
400:處理模組
425:處理模組
450:真空運送模組
460:負載閘
500:框架
550:路徑
600:框架
圖1A與1B根據本文中揭露之實施例描繪緩衝站之剖面圖。
圖2根據本文中揭露之實施例描繪包括緩衝站之模組式半導體基板處理系統的方塊圖。
圖3根據本文中揭露之實施例描繪包括緩衝站之模組式半導體基板處理系統的方塊圖。
圖4A-G根據本文中揭露之實施例描繪模組式半導體基板處理系統的配置。
在如下詳細揭露中,為提供本文揭露之設備與方法的理解而闡述例示性實施例。然而,對於本技術領域中具有通常知識者顯而易見的係,該等例示性實施例可毋須這些具體細節或藉由使用替代的元件或方法而被實施。在一些例子中,為避免不必要地混淆本文揭露之實施例的觀點,熟知的處理、程序、及/或元件未詳細描述。
圖1A與1B各根據本文中揭露之實施例描繪緩衝站100之剖面圖,該緩衝站用於在半導體基板搬運系統(例如真空運送模組)之間對經由其中而運送的半導體基板進行熱控制。緩衝站100包含第一真空運送模組介面110,可操作以允許一或更多半導體基板經由其中而運送;以及第二真空運送模組介面120,可操作以允許一或更多半導體基板經由其中而運送。該緩衝站包括至少一個緩衝腔室,例如在第一真空運送模組介面110與第二真空運送模組介面120之間的緩衝腔室130。緩衝腔室130包括下支座140,可操作以接收一半導體基板於其固持表面141上。下支座140可操作以加熱或冷卻固持於其中之固持表面141上的半導體基板。固持表面141界定緩衝腔室130的下表面,其中蓋部170之下表面界定緩衝腔室130的上表面。
緩衝腔室130包括一或更多半導體基板儲存架150,其位於下支座140上方,其中各半導體基板儲存架150可操作以將各別的半導體基板儲存於其上。例如,如圖1A所示,緩衝腔室130包括三個半導體基板儲存架150。在替代實施例中,緩衝腔室130包括2、4、5、或更多個半導體基板儲存架150。較佳地,如圖1B所示,緩衝腔室130包括隔熱屏160,其位於下支座140與一或更多半導體基板儲存架150之間。隔熱屏160可由熱絕緣材料製成,且可操作以降低固持於下支座140上的半導體基板以及固持於各別的一或更多半導體基板儲存架150上的一或更多基板之間的熱傳送。
現參考圖2,緩衝站100較佳地與二或更多半導體基板搬運系統一起操作,該等半導體基板搬運系統可操作以經由其中而運送半導體基板。例如,第一與第二真空運送模組200、300可各包括各別的機器手臂,該機器手臂可操作以將半導體基板放置在緩衝站100的下支座140上,並將該半導體基板從下支座140移開。該等各別的機器手臂亦可操作以將半導體基板放置在緩衝站100的儲存架150上,並將該半導體基板從其上移開。在一實施例中,第一真空運送模組200的機器手臂可操作以將半導體基板放置在下支座140上、以及將一或更多半導體基板放置在各別的儲存架150上。在下支座140上的半導體基板已進行加熱或冷卻操作(或其他處理操作,例如原位量測處理或晶圓清洗處理)之後,第二真空運送模組300的機器手臂可將該半導體基板從下支座140移開,並將該半導體基板運送至一起操作的處理模組400,其中第二真空運送模組300的機器手臂,或替代地第一真空運送模組200的機器手臂之後可將半導體基板從各別的儲存架150中之一者運送至下支座140,其中之後可對該半導體基板執行加熱或冷卻操作。
在進一步的實施例中,半導體基板可由第一真空運送模組200的機器手臂放置在儲存架150上,並由第二真空運送模組300的機器手臂從儲存架150上移開,而未放置在下支座140上進行處理,或反之亦然。在進一步的實施例中,在處理期間被破壞的半導體基板可放置並儲存在半導體基板儲存架150上,使得不影響在半導體基板處理系統10中進行處理的一批次的半導體基板的輸出量。因此,本文中揭露之緩衝站100的實施例透過下列動作提高半導體基板通過半導體基板處理系統10的輸出量:在運送期間對半導體基板執行處理操作;允許一或更多半導體基板儲存於其中;允許更快地獲得在緩衝站100之中運送的半導體基板;以及允許被破壞的基板從處理線上移開,而毋須花時間將被破壞的半導體基板移除、或不影響其他的半導體基板的處理。
回到參考圖1A,緩衝站的下支座140包括在固持表面141中的至少一個出口143,可將熱傳氣體輸送至固持於其上的半導體基板的底側;以及至少一個氣體通道142,延伸通過下支座140並且連接至熱傳氣體來源(未顯示),該熱傳氣體來源可操作以將在所需壓力下之熱傳氣體供應到至少一個氣體通道142。在一實施例中,下支座140包括單極或雙極靜電吸盤(ESC)電極144。當對單極或雙極ESC電極144施加靜電吸附電壓時,該ESC電極可操作以對固持表面141上的半導體基板施加靜電吸附力。下支座140亦包括升降銷146,可操作以將半導體基板下降至下支座140的固持表面141上,並將半導體基板從下支座140的固持表面141上抬起。包括ESC電極與升降銷的支座的例示性實施例可見於共同受讓之美國專利案第6567258號,該案以全文加入本案之參考資料。
下支座140更包括加熱器層145,加熱器層145包括可獨立控制的加熱器區,該等加熱器區可操作以獨立控制並調節固持表面141以及因此半導體基板(固持於下支座140的固持表面141上)的空間及時間溫度。下支座140可亦包括冷卻層(未顯示),例如流體冷卻散熱器,可操作以降低下支座140以及因此半導體基板(固持於其中之固持表面上)的溫度。包括加熱器層145及冷卻層的支座的例示性實施例可見於共同受讓之美國專利案第8680441號,該案以全文加入本案之參考資料。
緩衝站100設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其之間運送。較佳地,緩衝站100包含在第一真空運送模組介面110的第一狹縫閥190,配置以隔離緩衝腔室130的環境;以及在第二真空運送模組介面120的第二狹縫閥(未顯示),配置以隔離緩衝腔室130的環境。第一狹縫閥190與選擇性第二狹縫閥可上升,以藉此隔離緩衝腔室130的環境。在更進一步的實施例中,緩衝站100不必包括第一或第二狹縫閥。在一實施例中,緩衝站可包括對準銷180,以允許第一及第二真空運送模
組介面110、120對齊於和其接合之半導體基板搬運系統的各別的埠口。例如,緩衝站100包括對準銷180,可操作以將第一真空運送模組介面110的第一埠口與第一真空運送模組的各別的埠口(未顯示)對齊;以及對準銷180,可操作以將第二真空運送模組介面120的第一埠口與第二真空運送模組的各別的埠口(未顯示)對齊。
例如圖2根據本文中揭露之實施例描繪包括半導體基板搬運系統以及緩衝站100之模組式半導體基板處理系統10的方塊圖。如圖2所示,模組式半導體基板處理系統10包括EFEM 50,其經由上負載閘60及下負載閘65而與第一真空運送模組200一起操作。EFEM 50包括在其受控制的環境,例如過濾空氣(例如周圍過濾空氣)之環境、或惰性氣體(例如氮)之環境,其中該受控制的環境可在大氣壓力下、或在大於或小於大氣壓力之壓力下,例如真空壓力。
EFEM 50包括一或更多基板負載埠(未顯示),其中各個基板負載埠用以從(例如)空中(overhead)基板運送系統(未顯示)接收前開式晶圓傳送盒(FOUP),而該空中基板運送系統可操作以將該FOUP運送至各別的基板負載埠,使得EFEM 50中的一或更多機器手臂可卸載或裝載來自該FOUP的半導體晶圓。該FOUP為設計以固持半導體基板(例如,通常為矽晶圓(Si)但亦包括由下列製成的各種其他的基板類型:元素半導體材料,例如鍺(Ge);或化合物半導體材料,例如砷化鎵(GaAs)或砷化銦(InAs))的塑膠外殼。該FOUP將基板(未顯示)牢固地且安全地固持在其受控制的環境中。在一實施例中,EFEM 50的受控制的環境在真空壓力下,其中附接至該基板負載埠的FOUP同樣在真空壓力下。
第一真空運送模組200可與一或更多處理模組(未顯示)一起操作,該等處理模組各可操作以對其中之半導體基板執行一或更多處理操作。透過上及下負載閘60、65、以及真空運送模組200(具有可操作以經由其中運送半導體基板的一或更多機器系統(即機器手臂))而與一或更多FOUPs以及一或更多處理
模組一起操作的EFEM 50(可稱為群組處理工具)的例示性實施例可見於美國專利案第8430620、8282698、及8562272號,該等案以全文加入本案之參考資料。
在上及下負載閘60、65中的壓力可由負載閘真空泵浦66控制,負載閘真空泵浦66經由上負載閘閥60a與上負載閘60流體連通,並經由下負載閘閥60b與下負載閘65流體連通,其中上及下負載閘60、65較佳地彼此流體隔離。依此方式,上負載閘60可專用於將入站的(例如未經處理的)基板從EFEM 50運送到真空運送模組200,而下負載閘65可專用於將出站的(例如經處理的)基板從第一真空運送模組200運送到EFEM 50。較佳地,第一真空運送模組200中的壓力可由第一真空泵浦205控制,第一真空泵浦205經由第一真空運送模組閥200a與第一真空運送模組200流體連通,使得可在第一真空運送模組200中維持真空環境。第一真空運送模組200較佳地亦與第一真空運送質流控制器210流體連通,第一真空運送質流控制器210可操作以將惰性氣體供應到第一真空運送模組200的內部。
第一真空運送模組200可經由緩衝站100與第二真空運送模組300一起操作。在一實施例中,緩衝站100由框架500固持,而框架500亦固持第二真空運送模組300。在一替代實施例中,緩衝站100由固持第一真空運送模組200的第一真空運送模組框架600固持,或替代地緩衝站100可懸置在第一及第二真空運送模組200、300之間。
緩衝站100與第一真空運送模組200及第二真空運送模組300接合,以允許半導體基板在其間運送。第二真空運送模組300中的壓力可由第二真空泵浦305控制,第二真空泵浦305經由第二真空運送模組閥300a與第二真空運送模組300流體連通,使得可在第二真空運送模組300中維持真空環境。第二真空運送模組300可選擇性地與第二真空運送質流控制器(未顯示)流體連通,第二真空運送質流控制器可操作以將惰性氣體供應到第二真空運送模組300的
內部。第二真空運送模組300可選擇性地與一或更多處理模組400一起操作,一或更多處理模組400各可操作以對其中之半導體基板執行一或更多處理操作。
緩衝站100較佳地與一惰性氣體供應流體連通。例如,該惰性氣體供應可由緩衝站質流控制器111輸送,緩衝站質流控制器111可操作以將惰性氣體(例如氮)供應到緩衝站100的緩衝腔室130中。該惰性氣體可促進緩衝站100的下支座140與固持於下支座140上的半導體基板之間的熱傳送(請見圖1A與1B)。緩衝站100較佳地與一真空泵浦流體連通,該真空泵浦可操作以控制其中之緩衝腔室130內的壓力。例如,如圖2所示,緩衝站100與第二真空運送模組300共用第二真空泵浦305。在進一步的實施例中,即使在第一真空運送模組介面110(請見圖1A與1B)處的緩衝站100的第一狹縫閥190在關閉的狀態下,閥250仍可將緩衝站100的真空環境(即緩衝腔室130)與第一真空運送模組200流體連接以及隔離。在一實施例中,緩衝站100可流體連接至不同於第一及第二真空泵浦205、305的一真空泵浦。
較佳地,第一真空運送模組200經由第一狹縫閥190與緩衝站100接合,第一狹縫閥190可操作以將緩衝腔室130的環境與第一真空運送模組200的真空環境隔離。在更進一步的實施例中,緩衝站100可經由第二狹縫閥與第二真空運送模組300接合,第二狹縫閥可操作以將緩衝腔室130的環境與第二真空運送模組300的真空環境隔離。例如,圖3根據本文中揭露之實施例描繪包括緩衝站100之模組式半導體基板處理系統10的方塊圖,其中第二狹縫閥195可操作以將緩衝腔室130的環境與第二真空運送模組300的真空環境隔離。
在一實施例中,如圖3所示,緩衝站100可包括第一緩衝腔室130a,其位於第二緩衝腔室130b上方。第一緩衝腔室130a較佳地可操作以允許半導體基板從第一真空運送模組200運送到第二真空運送模組300,而第二緩衝腔室
130b可操作以允許半導體基板從第二真空運送模組300運送到第一真空運送模組200,或反之亦然。在一實施例中,包括在第一及/或第二真空運送模組200、300中的各別的機器手臂可能無法以垂直方向而向上及向下地伸出半導體基板,使得各別的機器手臂可將半導體基板運送到第一緩衝腔室130a中的下支座的固持表面以及第二緩衝腔室130b中的下支座的固持表面兩者。在此實施例中,傳動器199可操作以將第一及第二緩衝腔室130a、130b抬起或降下,以允許半導體基板運送通過第一緩衝腔室130a(當在較低位置時);且可操作以將第一及第二緩衝腔室130a、130b抬起,以允許半導體基板運送通過第二緩衝腔室130b。在一實施例中,第二緩衝腔室130b的上表面可由第一緩衝腔室130a之下支座的下表面來界定。
回到參考圖1A與1B,在一實施例中,緩衝站100的蓋部170可移動,以允許進入緩衝站100的緩衝腔室130,使得緩衝站100可被檢修。較佳地,位於O環溝槽中的O環在蓋部170的下表面與緩衝站100的上表面之間形成密封(例如真空密封)。此外,下支座140可移動,以允許下支座140可被修理或替換。例如,半導體基板處理操作要求半導體基板在高溫(例如高於400℃的溫度)下進行處理,能夠將半導體基板預熱至高於400℃的溫度的下支座可替換不具有此種能力的下支座,藉此允許半導體基板在被第一或第二真空運送模組運送到各別的處理模組(在其中之處理操作係在高溫下執行)之前達到此種溫度。因為在該處理模組中將半導體基板加熱至適當處理溫度所花費的時間減少,故在半導體基板被運送到各別的處理模組進行處理之前的半導體基板之預熱,提高了被處理之半導體基板的產出量。此外,位於O環溝槽中的O環在下支座140的上表面與緩衝站100的下表面之間形成密封(例如真空密封)。
如本文中揭露之緩衝站100的實施例可用於形成模組式半導體基板處理系統10。如本文中使用之模組式半導體基板處理系統10為具有分離的模
組的半導體基板處理系統,根據待對其中之一或更多基板執行的半導體基板處理操作,可將該等模組設置及/或再設置成各種配置。例如,模組式半導體基板處理系統10可包括二或更多真空運送模組450或三或更多真空運送模組450,該等真空運送模組450與接合於各別的真空運送模組450之間的一或更多緩衝站100設置在一起。
例如,圖4A-4G描繪模組式半導體基板處理系統10的可能的配置,各包括與一或更多FOUPs 35附接而用以將半導體基板供應到模組式半導體基板處理系統10的的EFEM50。模組式半導體基板處理系統10包括負載閘460,用以改變半導體基板的壓力環境;以及二或更多真空運送模組450,與各別的處理模組400一起操作,其中真空運送模組450各可操作以將半導體基板運送到各別的處理模組400。模組式半導體基板處理系統10包括接合於各別的真空運送模組450之間的一或更多緩衝站100,其中緩衝站100可操作以在半導體基板被真空運送模組450運送到各別的處理模組400或運送回到EFEM50(使得該半導體基板可儲存在各別的FOUP 35中並從模組式半導體基板處理系統10中移開)之前預熱或冷卻基板。模組式半導體基板處理系統10亦可包括處理模組425,處理模組425可操作以在處理操作期間對半導體基板執行半導體基板清洗操作或原位光學臨界尺寸量測操作。圖4G根據本文中揭露之實施例描繪半導體基板通過模組式半導體基板處理系統10的路徑550。
處理模組400可對半導體基板執行處理操作,例如半導體基板蝕刻、材料沉積、以及半導體基板清洗操作,其包括如下操作:電漿蝕刻、原子層蝕刻(ALE)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、脈衝沉積層(PDL)、分子層沉積(MLD)、電漿增強脈衝沉積層(PEPDL)處理、光阻劑移除、
原位量測、乾式清洗、濕式半導體基板清洗、離子射束蝕刻(IBE)、及濕式蝕刻(例如化學蝕刻)。.
本文中揭露之模組式半導體基板處理系統10以及相關的半導體基板搬運系統可與電子設備結合,該電子設備係用於在半導體晶圓或半導體基板之處理期間或在該處理前後控制其操作。該等電子設備可稱為「控制器」,控制一或複數系統的各種元件或子部件。例如,如圖4G所示,模組式半導體基板處理系統10及/或EFEM50包括相關的控制器156。依據處理需求及/或模組式半導體基板處理系統10之類型,可對控制器156編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器650的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,控制器156可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉
例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許半導體基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器156可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級(即模組式半導體基板處理系統10)、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
非限制地,例示性模組式半導體基板處理系統10可包含處理腔室,其包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體基板之製造及/或生產有關或用於其中的任何其他半導體處理設備。
如上所述,依據待由模組式半導體基板處理系統10執行之製程步驟(或複數製程步驟),控制器156可與下列一或多者通訊:其他工具電路或模
組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將半導體基板之容器(例如FOUPs)帶往或帶離半導體製造廠中的工具位置及/或負載埠的用於材料傳送之工具。較佳地,一非暫態電腦機械可讀媒介包括用於對模組式半導體基板處理系統10進行控制的程式指令。
透過緩衝站(其位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間)運送半導體基板的方法包含將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室。將該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上,其中對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作。之後將該半導體基板從該第一緩衝腔室中的下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組,其中該第二真空運送模組可將該半導體基板運送至一起操作的處理模組,使得可對該基板執行處理操作。
該方法更包括在將該半導體基板固持於第一緩衝腔室的下支座之固持表面上之前,將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上;在加熱或冷卻操作期間提高該第一緩衝腔室中的壓力,以提高下支座之固持表面以及該半導體基板之間的熱傳送;在加熱或冷卻操作期間在該第一緩衝腔室中提供惰性氣體環境;在加熱或冷卻操作期間將該第一緩衝腔室的環境與該第一及/或第二真空運送模組隔離;在加熱或冷卻操作期間將熱傳氣體輸送至被固持於固持表面上的半導體基板的底側;在加熱或冷卻操作期間將半導體基板靜電夾持於下支座之固持表面上;及/或在加熱或冷卻操作期間使用包括可獨立控制的加熱器區的加熱器層來獨立地控制並調節下支座之固持表面的空間與時間溫度,以控制後續的處理操作。
該方法亦包括將半導體基板從第二真空運送模組、運送通過第二真空運送模組介面、並進入該緩衝站的第一緩衝腔室,其中將該半導體基板固持於位於該第一緩衝腔室中的下支座之固持表面上。然後可對被固持於下支座之固持表面上的半導體基板執行選擇性加熱或冷卻操作,或替代地,可將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上。然後可將該半導體基板從該第一緩衝腔室的下支座或儲存架、運送通過該緩衝站的第一真空運送模組介面、並進入該第一真空運送模組。
在更進一步的實施例中,該方法包括將半導體基板從第二真空運送模組、運送通過第二真空運送模組介面、並進入該緩衝站的第二緩衝腔室。然後將該半導體基板固持於位於該第二緩衝腔室中的下支座之固持表面上,其中可對被固持於下支座之固持表面上的半導體基板執行選擇性加熱或冷卻操作,或替代地,可將該半導體基板儲存在該第二緩衝腔室中的半導體基板儲存架上。然後可將該半導體基板從該第二緩衝腔室的下支座或儲存架、運送通過該緩衝站的第一真空運送模組介面、並進入該第一真空運送模組。然後該基板可運送通過該EFEM並進入各別的FOUP中,並從該半導體基板處理系統中移開。
再者,當「大致上」、「相對上」、及「實質上」等詞彙與幾何形狀連接使用時,意旨不要求該幾何形狀的精確性,但形狀的範圍在本發明之範疇內。當「大致上」、「相對上」、及「實質上」等詞彙與幾何用語一起使用時,意旨不僅涵蓋符合嚴格定義的特徵,亦涵蓋相當接近該等嚴格定義的特徵。
雖然包括絕熱沉積腔室的電漿處理設備以參考其中之具體實施例而詳細描述,但顯然地對於該發明所屬技術領域中有通常知識者而言,可不悖離隨附申請專利範圍之範疇,而做出或等效應用多樣的改變與改良。
100‧‧‧緩衝站
110‧‧‧真空運送模組介面
120‧‧‧真空運送模組介面
130‧‧‧緩衝腔室
140‧‧‧下支座
141‧‧‧固持表面
142‧‧‧氣體通道
143‧‧‧出口
144‧‧‧電極
145‧‧‧加熱器層
146‧‧‧升降銷
150‧‧‧儲存架
170‧‧‧蓋部
180‧‧‧對準銷
190‧‧‧閥
Claims (16)
- 一種緩衝站,用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制,該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該至少一緩衝腔室包括一第一緩衝腔室,其位於一第二緩衝腔室上方,其中該第一緩衝腔室配置以允許半導體基板從該第一真空運送模組運送到該第二真空運送模組,而該第二緩衝腔室配置以允許半導體基板從該第二真空運送模組運送到該第一真空運送模組;或(b)該至少一緩衝腔室包括一第一緩衝腔室,其位於一第二緩衝腔室上方,其中該第一緩衝腔室配置以允許半導體基板從該第一真空運送模組運送到該第二真空運送模組,而該第二緩衝腔室配置以允許半導體基板從該第二真空 運送模組運送到該第一真空運送模組,其中該緩衝站包括一傳動器,該傳動器可操作以將該第一及第二緩衝腔室降下,以允許半導體基板運送通過該第一緩衝腔室,且可操作以將該第一及第二緩衝腔室抬起,以允許半導體基板運送通過該第二緩衝腔室。
- 一種緩衝站,用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制,該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該緩衝站配置成與一惰性氣體供應流體連通,該惰性氣體供應可操作以將惰性氣體供應到該至少一緩衝腔室中,以促進該下支座與固持於該下支座上的半導體基板之間的熱傳送; (b)該緩衝站配置成與一真空泵浦流體連通,該真空泵浦可操作以控制該至少一緩衝腔室內的壓力;(c)該第一真空運送模組介面包括一第一狹縫閥,該第一狹縫閥可操作以密封該第一真空運送模組介面的第一埠口,該第一狹縫閥配置以將該至少一緩衝腔室的環境與該第一真空運送模組的真空環境隔離;(d)該第二真空運送模組介面包括一第二狹縫閥,該第二狹縫閥可操作以密封該第二真空運送模組介面的第一埠口,該第二狹縫閥配置以將該至少一緩衝腔室的環境與該第二真空運送模組的真空環境隔離;(e)該緩衝站包括對準銷,該對準銷配置以將該第一真空運送模組介面的第一埠口與該第一真空運送模組的各別的埠口對齊;及/或(f)該緩衝站包括對準銷,該對準銷配置以將該第二真空運送模組介面的第一埠口與該第二真空運送模組的各別的埠口對齊。
- 一種緩衝站,用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制,該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基 板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中該下支座包括:(a)在該固持表面中的至少一出口,該出口可將熱傳氣體輸送至固持於其上的半導體基板的底側;以及至少一氣體通道,延伸通過該下支座並且連接至一熱傳氣體來源,該熱傳氣體來源可操作以將在所需壓力下之熱傳氣體供應到該至少一氣體通道;(b)一單極或雙極靜電吸盤電極,當對該單極或雙極靜電吸盤電極施加靜電吸附電壓時,該單極或雙極靜電吸盤電極可操作以對該固持表面上的半導體基板施加靜電吸附力;及/或(c)升降銷,可操作以將半導體基板下降至該下支座的固持表面上,並將半導體基板從該下支座的固持表面上抬起。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;以及一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及 至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各自可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該至少一緩衝腔室包括一第一緩衝腔室,其位於一第二緩衝腔室上方,其中該第一緩衝腔室可操作以允許半導體基板從該第一真空運送模組運送到該第二真空運送模組,而該第二緩衝腔室可操作以允許半導體基板從該第二真空運送模組運送到該第一真空運送模組;或(b)該至少一緩衝腔室包括一第一緩衝腔室,其位於一第二緩衝腔室上方,其中該第一緩衝腔室可操作以允許半導體基板從該第一真空運送模組運送到該第二真空運送模組,而該第二緩衝腔室可操作以允許半導體基板從該第二真空運送模組運送到該第一真空運送模組,其中該緩衝站包括一傳動器,該傳動器可操作以將該第一及第二緩衝腔室降下,以允許半導體基板運送通過該第一緩衝腔室,且可操作以將該第一及第二緩衝腔室抬起,以允許半導體基板運送通過該第二緩衝腔室。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;以及 一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各自可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該緩衝站與一惰性氣體供應流體連通,該惰性氣體供應可操作以將惰性氣體供應到該至少一緩衝腔室中,以促進該下支座與固持於該下支座上的半導體基板之間的熱傳送;(b)該緩衝站與一真空泵浦流體連通,該真空泵浦可操作以控制該至少一緩衝腔室內的壓力;(c)該第一真空運送模組介面包括一第一狹縫閥,該第一狹縫閥可操作以密封該第一真空運送模組介面的第一埠口,該第一狹縫閥可操作以將該至少一緩衝腔室的環境與該第一真空運送模組的真空環境隔離; (d)該第二真空運送模組介面包括一第二狹縫閥,該第二狹縫閥可操作以密封該第二真空運送模組介面的第一埠口,該第二狹縫閥可操作以將該至少一緩衝腔室的環境與該第二真空運送模組的真空環境隔離;(e)該緩衝站包括對準銷,該對準銷可操作以將該第一真空運送模組介面的第一埠口與該第一真空運送模組的各別的埠口對齊;(f)該緩衝站包括對準銷,該對準銷可操作以將該第二真空運送模組介面的第一埠口與該第二真空運送模組的各別的埠口對齊;(g)該模組式半導體基板處理系統更包含一控制器,配置以控制由該系統所執行之處理;及/或(h)該模組式半導體基板處理系統更包含一非暫態電腦機械可讀媒介,包括用於對該系統進行控制的程式指令。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;以及一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座可操作以從該第一真空運送模組或該第二 真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各自可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中該下支座包括:(a)在該固持表面中的至少一出口,該出口可將熱傳氣體輸送至固持於其上的半導體基板的底側;以及至少一氣體通道,延伸通過該下支座並且連接至一熱傳氣體來源,該熱傳氣體來源可操作以將在所需壓力下之熱傳氣體供應到該至少一氣體通道;(b)一單極或雙極靜電吸盤電極,當對該單極或雙極靜電吸盤電極施加靜電吸附電壓時,該單極或雙極靜電吸盤電極可操作以對該固持表面上的半導體基板施加靜電吸附力;及/或(c)升降銷,可操作以將半導體基板下降至該下支座的固持表面上,並將半導體基板從該下支座的固持表面上抬起。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;以及一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送; 第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各自可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該第一真空運送模組與一裝置前端模組(EFEM)一起操作,該EFEM可操作以從前開式晶圓傳送盒(FOUP)接收在大氣壓力下的半導體基板,並將該半導體基板運送到該第一真空運送模組的真空環境;(b)該第一真空運送模組與一或更多半導體基板處理模組一起操作,該等一或更多半導體基板處理模組可操作以對半導體基板執行一或更多處理操作;(c)該第二真空運送模組與一或更多半導體基板處理模組一起操作,該等一或更多半導體基板處理模組可操作以對半導體基板執行一或更多處理操作;(d)該第一真空運送模組包括在其真空環境下的一機器手臂,該機器手臂可操作以將半導體基板運送通過該第一真空運送模組介面;及/或(e)該第二真空運送模組包括在其真空環境下的一機器手臂,該機器手臂可操作以將半導體基板運送通過該第二真空運送模組介面。
- 一種模組式半導體基板處理系統,其包括三或更多真空運送模組,各個真空運送模組透過一緩衝站而與至少一其他的真空運送模組一起操作而對半導體基板進行熱控制,該緩衝站包含:一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;以及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室每一者包括:一下支座,該下支座形成該緩衝腔室的最底部內部表面,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,該一或更多半導體基板儲存架從該緩衝腔室的側壁向外延伸,且各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中:(a)該等真空運送模組中的至少一者與一EFEM一起操作,該EFEM可操作以從前開式晶圓傳送盒(FOUP)接收在大氣壓力下的半導體基板,並將該等半導體基板運送到其真空環境中;及/或(b)各個真空運送模組與一或更多半導體基板處理模組一起操作,該等半導體基板處理模組可操作以對半導體基板執行一或更多處理操作。
- 一種透過緩衝站運送半導體基板的方法,該緩衝站位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間,該方法包含: 將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室;該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上,該下支座形成該第一緩衝腔室的最底部內部表面;對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作;將該半導體基板從該第一緩衝腔室中的該下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組;在將該半導體基板固持於該第一緩衝腔室的該下支座之固持表面上之前,將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上,該半導體基板儲存架位於該下支座的上方且從該第一緩衝腔室的側壁向外延伸;在加熱或冷卻操作期間提高該第一緩衝腔室中的壓力,以提高下支座之固持表面以及半導體基板之間的熱傳送;在加熱或冷卻操作期間在該第一緩衝腔室中提供惰性氣體環境;在加熱或冷卻操作期間將該第一緩衝腔室的環境與該第一及/或第二真空運送模組隔離;在加熱或冷卻操作期間將熱傳氣體輸送至被固持於固持表面上的半導體基板的底側;在加熱或冷卻操作期間將半導體基板靜電夾持於下支座之固持表面上;及/或在加熱或冷卻操作期間使用包括可獨立控制的加熱器區的一加熱器層來獨立地控制並調節下支座之固持表面的空間與時間溫度。
- 一種透過緩衝站運送半導體基板的方法,該緩衝站位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間,該方法包含: 將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室;該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上,該下支座形成該第一緩衝腔室的最底部內部表面;對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作;將該半導體基板從該第一緩衝腔室中的該下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組;在將該半導體基板固持於該第一緩衝腔室的該下支座之固持表面上之前,將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上,該半導體基板儲存架位於該下支座的上方且從該第一緩衝腔室的側壁向外延伸;將半導體基板從該第二真空運送模組、運送通過第二真空運送模組介面、並進入該緩衝站的第一緩衝腔室;及將該半導體基板固持於位於該第一緩衝腔室中的下支座之固持表面上,並且執行以下一者:對被固持於該下支座之固持表面上的該半導體基板的加熱或冷卻操作,及將該半導體基板儲存在該第一緩衝腔室中的一第二半導體基板儲存架上;並且將該半導體基板從該第一緩衝腔室、運送通過該緩衝站的第一真空運送模組介面、並進入該第一真空運送模組。
- 一種透過緩衝站運送半導體基板的方法,該緩衝站位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間,該方法包含: 將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室;該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上,該下支座形成該第一緩衝腔室的最底部內部表面;對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作;將該半導體基板從該第一緩衝腔室中的該下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組;在將該半導體基板固持於該第一緩衝腔室的該下支座之固持表面上之前,將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上,該半導體基板儲存架位於該下支座的上方且從該第一緩衝腔室的側壁向外延伸;將半導體基板從該第二真空運送模組、運送通過該第二真空運送模組介面、並進入該緩衝站的第二緩衝腔室;將該半導體基板固持於位於該第二緩衝腔室中的下支座之固持表面上,並且執行以下一者:對被固持於該下支座之固持表面上的該半導體基板的加熱或冷卻操作,及將該半導體基板儲存在該第二緩衝腔室中的一第二半導體基板儲存架上;並且將該半導體基板從該第二緩衝腔室、運送通過該緩衝站的第一真空運送模組介面、並進入該第一真空運送模組。
- 一種緩衝站,用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制,該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含: 一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室包括:一下支座,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中,以下至少一者成立:(a)該緩衝站配置成與一惰性氣體供應呈流體連通,該惰性氣體供應可操作以將惰性氣體供應到該至少一緩衝腔室中,以促進該下支座與固持於該下支座上的半導體基板之間的熱傳送;(b)該緩衝站配置成與一真空泵浦呈流體連通,該真空泵浦可操作以控制該至少一緩衝腔室內的壓力;(c)該第一真空運送模組介面包括一第一狹縫閥,該第一狹縫閥可操作以密封該第一真空運送模組介面的第一埠口,該第一狹縫閥配置以將該至少一緩衝腔室的環境與該第一真空運送模組的真空環境隔離;(d)該第二真空運送模組介面包括一第二狹縫閥,該第二狹縫閥可操作以密封該第二真空運送模組介面的第一埠口,該第二 狹縫閥配置以將該至少一緩衝腔室的環境與該第二真空運送模組的真空環境隔離;(e)該緩衝站包括對準銷以將該第一真空運送模組介面的第一埠口與該第一真空運送模組的各別的埠口對齊;及(f)該緩衝站包括對準銷以將該第二真空運送模組介面的第一埠口與該第二真空運送模組的各別的埠口對齊。
- 一種緩衝站,用於對在模組式半導體基板處理系統中經由其中而運送的半導體基板進行熱控制,該緩衝站設置成與該模組式半導體基板處理系統的第一及第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:一第一真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;一第二真空運送模組介面,配置以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室包括:一下支座,該下支座配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,各配置以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中,該下支座包括以下至少一者:(a)在該固持表面中的至少一出口,該出口可將熱傳氣體輸送至固持於其上的半導體基板的底側;以及至少一氣體通道,延 伸通過該下支座並且連接至一熱傳氣體來源,該熱傳氣體來源可操作以將在所需壓力下之熱傳氣體供應到該至少一氣體通道;(b)一單極或雙極靜電吸盤電極,當對該單極或雙極靜電吸盤電極施加靜電吸附電壓時,該單極或雙極靜電吸盤電極可操作以對該固持表面上的半導體基板施加靜電吸附力;及(c)升降銷,可操作以將半導體基板下降至該下支座的固持表面上,並將半導體基板從該下支座的固持表面上抬起。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;及一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室包括:一下支座,可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,各自可操作以從該第一真空運送模組 或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中,以下至少一者成立:(a)該緩衝站與一惰性氣體供應呈流體連通,該惰性氣體供應可操作以將惰性氣體供應到該至少一緩衝腔室中,以促進該下支座與固持於該下支座上的半導體基板之間的熱傳送;(b)該緩衝站與一真空泵浦呈流體連通,該真空泵浦可操作以控制該至少一緩衝腔室內的壓力;(c)該第一真空運送模組介面包括一第一狹縫閥,該第一狹縫閥可操作以密封該第一真空運送模組介面的第一埠口,該第一狹縫閥可操作以將該至少一緩衝腔室的環境與該第一真空運送模組的真空環境隔離;(d)該第二真空運送模組介面包括一第二狹縫閥,該第二狹縫閥可操作以密封該第二真空運送模組介面的第一埠口,該第二狹縫閥可操作以將該至少一緩衝腔室的環境與該第二真空運送模組的真空環境隔離;(e)該緩衝站包括對準銷可操作以將該第一真空運送模組介面的第一埠口與該第一真空運送模組的各別的埠口對齊;(f)該緩衝站包括對準銷可操作以將該第二真空運送模組介面的第一埠口與該第二真空運送模組的各別的埠口對齊; (g)該模組式半導體基板處理系統更包含一控制器,配置以控制由該系統所執行之處理;及(h)該模組式半導體基板處理系統更包含一非暫態電腦機械可讀媒介,包括用於對該系統進行控制的程式指令。
- 一種模組式半導體基板處理系統,其包括用於對經由其中而運送的半導體基板進行熱控制的緩衝站,該模組式半導體基板處理系統包含:一第一真空運送模組;一第二真空運送模組;及一緩衝站,該緩衝站與該第一真空運送模組及該第二真空運送模組接合,以允許半導體基板在其間運送,該緩衝站包含:第一真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第一真空運送模組與該緩衝站之間運送;第二真空運送模組介面,可操作以允許一或更多半導體基板在真空壓力下於該第二真空運送模組與該緩衝站之間運送;及至少一緩衝腔室,其位於該第一真空運送模組介面與該第二真空運送模組介面之間,該至少一緩衝腔室包括:一下支座,可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板於其固持表面上,並且可操作以對接收於其上的半導體基板執行加熱或冷卻操作;以及一或更多半導體基板儲存架,位於該下支座的上方,各自可操作以從該第一真空運送模組或該第二真空運送模組接收一半導體基板,其中各半導體基板儲存架可操作以將各別的半導體基板儲存於其上,其中該下支座包括以下至少一者:(a)在該固持表面中的至少一出口,該出口可將熱傳氣體輸送至固持於其上的半導體基板的底側;以及至少一氣體 通道,延伸通過該下支座並且連接至一熱傳氣體來源,該熱傳氣體來源可操作以將在所需壓力下之熱傳氣體供應到該至少一氣體通道;(b)一單極或雙極靜電吸盤電極,當對該單極或雙極靜電吸盤電極施加靜電吸附電壓時,該單極或雙極靜電吸盤電極可操作以對該固持表面上的半導體基板施加靜電吸附力;及(c)升降銷,可操作以將半導體基板下降至該下支座的固持表面上,並將半導體基板從該下支座的固持表面上抬起。
- 一種透過緩衝站運送半導體基板的方法,該緩衝站位於模組式半導體基板處理系統的第一真空運送模組以及第二真空運送模組之間,該方法包含:將半導體基板從第一真空運送模組、運送通過第一真空運送模組介面、並進入該緩衝站的第一緩衝腔室;將該半導體基板固持在位於該第一緩衝腔室中的下支座的固持表面上;對固持在該下支座的固持表面上的該半導體基板執行加熱或冷卻操作;及將該半導體基板從該第一緩衝腔室中的下支座、運送通過該緩衝站的第二真空運送模組介面、並進入第二真空運送模組,且以下至少一者成立:(a)在將半導體基板固持於該第一緩衝腔室的下支座之固持表面上之前,將該半導體基板儲存在該第一緩衝腔室中的半導體基板儲存架上; (b)在加熱或冷卻操作期間提高該第一緩衝腔室中的壓力,以提高下支座之固持表面以及半導體基板之間的熱傳送;(c)在加熱或冷卻操作期間在該第一緩衝腔室中提供惰性氣體環境;(d)在加熱或冷卻操作期間將該第一緩衝腔室的環境與該第一及/或第二真空運送模組隔離;(e)在加熱或冷卻操作期間將熱傳氣體輸送至被固持於固持表面上的半導體基板的底側;(f)在加熱或冷卻操作期間將半導體基板靜電夾持於下支座之固持表面上;及(g)在加熱或冷卻操作期間使用包括可獨立控制的加熱器區的一加熱器層來獨立地控制並調節下支座之固持表面的空間與時間溫度。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/522,338 US9673071B2 (en) | 2014-10-23 | 2014-10-23 | Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates |
US14/522,338 | 2014-10-23 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201628119A TW201628119A (zh) | 2016-08-01 |
TWI713471B true TWI713471B (zh) | 2020-12-21 |
Family
ID=55792560
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104134286A TWI713471B (zh) | 2014-10-23 | 2015-10-20 | 用於對經由其中而運送的半導體基板進行熱控制的緩衝站與半導體基板的運送方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9673071B2 (zh) |
JP (1) | JP2016105462A (zh) |
KR (1) | KR102534391B1 (zh) |
CN (2) | CN105551998A (zh) |
TW (1) | TWI713471B (zh) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10747210B2 (en) * | 2017-09-11 | 2020-08-18 | Lam Research Corporation | System and method for automating user interaction for semiconductor manufacturing equipment |
KR102568797B1 (ko) * | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020023409A1 (en) * | 2018-07-24 | 2020-01-30 | Applied Materials, Inc. | Optically transparent pedestal for fluidly supporting a substrate |
US11061417B2 (en) * | 2018-12-19 | 2021-07-13 | Applied Materials, Inc. | Selectable-rate bottom purge apparatus and methods |
US10886155B2 (en) | 2019-01-16 | 2021-01-05 | Applied Materials, Inc. | Optical stack deposition and on-board metrology |
JP7221403B2 (ja) * | 2019-09-05 | 2023-02-13 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
JP2023523663A (ja) | 2019-09-06 | 2023-06-07 | アプライド マテリアルズ インコーポレイテッド | シャッターディスク |
US11049740B1 (en) * | 2019-12-05 | 2021-06-29 | Applied Materials, Inc. | Reconfigurable mainframe with replaceable interface plate |
US11810805B2 (en) * | 2020-07-09 | 2023-11-07 | Applied Materials, Inc. | Prevention of contamination of substrates during gas purging |
US11706928B2 (en) * | 2020-10-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method for fabricating the same |
CN113611633B (zh) * | 2021-07-21 | 2023-01-17 | 北京北方华创微电子装备有限公司 | 晶圆烘烤腔室及其晶圆预清洁方法 |
KR102606707B1 (ko) | 2021-10-14 | 2023-11-29 | 주식회사 저스템 | 웨이퍼 버퍼용 챔버장치 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200922852A (en) * | 2007-05-18 | 2009-06-01 | Brooks Automation Inc | Load lock fast pump vent |
Family Cites Families (55)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
FI110007B (fi) * | 1990-02-28 | 2002-11-15 | Dsm Nv | Menetelmä proteiinien tuottamiseksi |
JP3139155B2 (ja) * | 1992-07-29 | 2001-02-26 | 東京エレクトロン株式会社 | 真空処理装置 |
JP3965343B2 (ja) * | 1994-08-19 | 2007-08-29 | 東京エレクトロン株式会社 | 処理装置 |
US5609698A (en) | 1995-01-23 | 1997-03-11 | General Electric Company | Processing of gamma titanium-aluminide alloy using a heat treatment prior to deformation processing |
US5879461A (en) * | 1997-04-21 | 1999-03-09 | Brooks Automation, Inc. | Metered gas control in a substrate processing apparatus |
US6257827B1 (en) * | 1997-12-01 | 2001-07-10 | Brooks Automation Inc. | Apparatus and method for transporting substrates |
TW442891B (en) | 1998-11-17 | 2001-06-23 | Tokyo Electron Ltd | Vacuum processing system |
US6092981A (en) * | 1999-03-11 | 2000-07-25 | Applied Materials, Inc. | Modular substrate cassette |
US6920915B1 (en) * | 1999-10-02 | 2005-07-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Apparatus and method for cooling a semiconductor substrate |
US6377437B1 (en) | 1999-12-22 | 2002-04-23 | Lam Research Corporation | High temperature electrostatic chuck |
US6977014B1 (en) | 2000-06-02 | 2005-12-20 | Novellus Systems, Inc. | Architecture for high throughput semiconductor processing applications |
US6543981B1 (en) | 2001-03-30 | 2003-04-08 | Lam Research Corp. | Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation |
JP2003059999A (ja) * | 2001-08-14 | 2003-02-28 | Tokyo Electron Ltd | 処理システム |
CN1996552B (zh) | 2001-08-31 | 2012-09-05 | 克罗辛自动化公司 | 晶片机 |
US20030131458A1 (en) * | 2002-01-15 | 2003-07-17 | Applied Materials, Inc. | Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing |
JP4153781B2 (ja) * | 2002-01-31 | 2008-09-24 | 大日本スクリーン製造株式会社 | 熱処理装置および基板処理装置 |
US7309618B2 (en) | 2002-06-28 | 2007-12-18 | Lam Research Corporation | Method and apparatus for real time metal film thickness measurement |
US7959395B2 (en) | 2002-07-22 | 2011-06-14 | Brooks Automation, Inc. | Substrate processing apparatus |
JP4348921B2 (ja) * | 2002-09-25 | 2009-10-21 | 東京エレクトロン株式会社 | 被処理体の搬送方法 |
US7153388B2 (en) | 2003-03-31 | 2006-12-26 | Lam Research Corporation | Chamber for high-pressure wafer processing and method for making the same |
US8696298B2 (en) * | 2003-11-10 | 2014-04-15 | Brooks Automation, Inc. | Semiconductor manufacturing process modules |
US8403613B2 (en) * | 2003-11-10 | 2013-03-26 | Brooks Automation, Inc. | Bypass thermal adjuster for vacuum semiconductor processing |
US7458763B2 (en) * | 2003-11-10 | 2008-12-02 | Blueshift Technologies, Inc. | Mid-entry load lock for semiconductor handling system |
US20070269297A1 (en) | 2003-11-10 | 2007-11-22 | Meulen Peter V D | Semiconductor wafer handling and transport |
US20050113976A1 (en) | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Software controller for handling system |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7445015B2 (en) | 2004-09-30 | 2008-11-04 | Lam Research Corporation | Cluster tool process chamber having integrated high pressure and vacuum chambers |
US7680559B2 (en) | 2005-02-08 | 2010-03-16 | Lam Research Corporation | Wafer movement control macros |
US7536538B1 (en) | 2005-03-31 | 2009-05-19 | Lam Research Corporation | Cluster tools for processing substrates using at least a key file |
US7604449B1 (en) | 2005-06-27 | 2009-10-20 | Kla-Tencor Technologies Corporation | Equipment front end module |
US8398355B2 (en) * | 2006-05-26 | 2013-03-19 | Brooks Automation, Inc. | Linearly distributed semiconductor workpiece processing tool |
JP3124289U (ja) * | 2006-06-02 | 2006-08-10 | 株式会社島津製作所 | クラスタ型装置 |
US7560007B2 (en) | 2006-09-11 | 2009-07-14 | Lam Research Corporation | In-situ wafer temperature measurement and control |
JP2010524201A (ja) | 2007-03-22 | 2010-07-15 | クロッシング オートメイション, インコーポレイテッド | モジュラクラスタツール |
WO2008144670A1 (en) * | 2007-05-18 | 2008-11-27 | Brooks Automation, Inc. | Load lock fast pump vent |
US7798388B2 (en) | 2007-05-31 | 2010-09-21 | Applied Materials, Inc. | Method of diffusion bonding a fluid flow apparatus |
US8225683B2 (en) | 2007-09-28 | 2012-07-24 | Lam Research Corporation | Wafer bow metrology arrangements and methods thereof |
US8060252B2 (en) | 2007-11-30 | 2011-11-15 | Novellus Systems, Inc. | High throughput method of in transit wafer position correction in system using multiple robots |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
US8430620B1 (en) | 2008-03-24 | 2013-04-30 | Novellus Systems, Inc. | Dedicated hot and cold end effectors for improved throughput |
US8185242B2 (en) | 2008-05-07 | 2012-05-22 | Lam Research Corporation | Dynamic alignment of wafers using compensation values obtained through a series of wafer movements |
US8317934B2 (en) | 2009-05-13 | 2012-11-27 | Lam Research Corporation | Multi-stage substrate cleaning method and apparatus |
US8562272B2 (en) | 2010-02-16 | 2013-10-22 | Lam Research Corporation | Substrate load and unload mechanisms for high throughput |
US8282698B2 (en) | 2010-03-24 | 2012-10-09 | Lam Research Corporation | Reduction of particle contamination produced by moving mechanisms in a process tool |
US8616821B2 (en) | 2010-08-26 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated apparatus to assure wafer quality and manufacturability |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9385019B2 (en) | 2012-06-21 | 2016-07-05 | Globalfoundries Inc. | Overhead substrate handling and storage system |
US9293317B2 (en) | 2012-09-12 | 2016-03-22 | Lam Research Corporation | Method and system related to semiconductor processing equipment |
US9558974B2 (en) | 2012-09-27 | 2017-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor processing station and method for processing semiconductor wafer |
JP6049394B2 (ja) | 2012-10-22 | 2016-12-21 | 東京エレクトロン株式会社 | 基板処理システム及び基板の搬送制御方法 |
US20140119858A1 (en) | 2012-10-31 | 2014-05-01 | Sandisk 3D Llc | Semiconductor Device Manufacturing Line |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
-
2014
- 2014-10-23 US US14/522,338 patent/US9673071B2/en active Active
-
2015
- 2015-10-19 JP JP2015205576A patent/JP2016105462A/ja active Pending
- 2015-10-20 TW TW104134286A patent/TWI713471B/zh active
- 2015-10-20 KR KR1020150145946A patent/KR102534391B1/ko active IP Right Grant
- 2015-10-23 CN CN201510696876.9A patent/CN105551998A/zh active Pending
- 2015-10-23 CN CN202210407940.7A patent/CN115020276A/zh active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200922852A (en) * | 2007-05-18 | 2009-06-01 | Brooks Automation Inc | Load lock fast pump vent |
Also Published As
Publication number | Publication date |
---|---|
CN105551998A (zh) | 2016-05-04 |
US9673071B2 (en) | 2017-06-06 |
TW201628119A (zh) | 2016-08-01 |
KR20160047994A (ko) | 2016-05-03 |
CN115020276A (zh) | 2022-09-06 |
KR102534391B1 (ko) | 2023-05-18 |
JP2016105462A (ja) | 2016-06-09 |
US20160118280A1 (en) | 2016-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI713471B (zh) | 用於對經由其中而運送的半導體基板進行熱控制的緩衝站與半導體基板的運送方法 | |
TWI685909B (zh) | 用以移動晶圓之設備前端模組及方法 | |
JP6582676B2 (ja) | ロードロック装置、及び基板処理システム | |
KR102533126B1 (ko) | 최적화된 저 에너지/고 생산성 디포지션 시스템 | |
JP5472765B2 (ja) | 基板処理装置 | |
JP2013033965A (ja) | 基板処理装置、基板処理設備、及び基板処理方法 | |
JP2016105462A5 (zh) | ||
US11195734B2 (en) | Dual load lock chamber | |
KR102521160B1 (ko) | 할로겐 제거 모듈 및 연관된 시스템들 및 방법들 | |
CN105321853A (zh) | 烘烤单元、包括该单元的基板处理设备以及基板处理方法 | |
CN107731710B (zh) | 用于衬底处理系统的装载站和衬底处理工具 | |
KR20140016421A (ko) | 기판처리장치, 기판처리설비 및 기판처리방법 | |
US20210125854A1 (en) | Substrate processing apparatus | |
TW201729328A (zh) | 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置 | |
KR100803562B1 (ko) | 기판 처리 장치 | |
TWI601230B (zh) | Substrate processing system | |
KR20160141244A (ko) | 기판 처리 장치 및 방법 | |
KR20230067075A (ko) | 기판 처리 장치 및 이를 포함하는 반도체 제조 설비 | |
KR20160053340A (ko) | 기판 처리 장치 및 기판 처리 방법 | |
CN118140297A (zh) | 等离子体处理系统和等离子体处理装置 | |
JP2020194890A (ja) | 基板処理システム及び基板処理システムの制御方法 |