CN115020276A - 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法 - Google Patents

用于半导体衬底热控制的缓冲站及传送半导体衬底的方法 Download PDF

Info

Publication number
CN115020276A
CN115020276A CN202210407940.7A CN202210407940A CN115020276A CN 115020276 A CN115020276 A CN 115020276A CN 202210407940 A CN202210407940 A CN 202210407940A CN 115020276 A CN115020276 A CN 115020276A
Authority
CN
China
Prior art keywords
transfer module
semiconductor substrate
vacuum transfer
operable
buffer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210407940.7A
Other languages
English (en)
Inventor
凯斯·弗里曼·伍德
马修·乔纳森·罗德尼克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115020276A publication Critical patent/CN115020276A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明总体上涉及用于半导体衬底热控制的缓冲站及传送半导体衬底的方法。一种用于在半导体衬底处理系统内热控制半导体衬底的缓冲站配置成与该系统的第一和第二真空传送模块连接,从而允许衬底在它们之间传送。缓冲站包括:第一真空传送模块接口,其配置成允许衬底在第一真空传送模块和缓冲站之间被传送;以及第二传送模块接口,其配置成允许衬底在第二真空传送模块和缓冲站之间被传送。在第一和第二真空传送模块接口之间的至少一个缓冲室包括下基座,该下基座配置成在其支撑表面上接收衬底。一个或多个半导体衬底存储支架位于下基座的上方。每个支架配置成从第一或第二真空传送模块接收衬底并在其上存储相应的衬底。

Description

用于半导体衬底热控制的缓冲站及传送半导体衬底的方法
本申请是申请号为201510696876.9,申请日为2015年10月23日,申请人为朗姆研究公司,发明创造名称为“用于半导体衬底热控制的缓冲站及传送半导体衬底的方法”的发明专利申请的分案申请。
技术领域
本发明涉及缓冲站,更具体而言,涉及用于在模块化半导体衬底处理系统的真空传送模块之间穿过其中进行传送的半导体衬底的热控制的缓冲站。
背景技术
不同类型的工具用于在半导体衬底处理系统内在半导体衬底(即晶片)处理期间执行数百个处理操作。这些操作中的大多数是在半导体衬底处理系统的真空室(即,处理室)内在非常低的压力下进行。其他操作是在过滤空气或惰性气体的受控环境内在大气压下进行。半导体衬底被利用半导体衬底处理系统引入到处理室,该半导体衬底处理系统被机械地耦合到相应的处理室。半导体衬底处理系统从工厂地面传送衬底到处理室。
半导体衬底处理系统可以包括例如设备前端模块(EFEM)、装载锁以及真空传送模块,该设备前端模块能操作以从正面开口标准箱(FOUP)传送衬底到半导体衬底处理系统用于处理并且将衬底带回到FOUP,所述装载锁将半导体衬底从大气条件带至非常低的压力条件下(例如,真空条件)并返回到大气条件,所述真空传送模块能操作以传送半导体衬底往来于半导体衬底装载锁和半导体衬底处理模块,该半导体衬底处理模块与真空传送模块成操作关系。半导体衬底处理系统可包括机械手以将衬底传送到半导体衬底处理系统中的不同位置。吞吐量(即,在一时间段内处理的衬底数量)是受以下影响:衬底处理时间、在给定时间进行处理的衬底数量以及引入衬底进入真空室内的步骤时序。因此,需要增加吞吐量的改进的方法和装置。
发明内容
本发明公开了一种用于热控制模块化半导体衬底处理系统内的穿过其内被传送的半导体衬底的缓冲站。所述缓冲站配置成与所述模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块连接,从而允许半导体衬底在它们之间被传送。所述缓冲站包括:第一真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送。第二真空传送模块接口配置成允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送。在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室包括:下基座,其配置成从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上。所述下基座能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作。在所述下基座上方的一个或多个半导体衬底存储支架各自配置成从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底。
本发明还公开了一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统。所述模块化半导体衬底处理系统包括:第一真空传送模块;第二真空传送模块;以及缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送。所述缓冲站包括:第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;以及第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送。在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室包括:下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上。所述下基座能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作。在所述下基座上方的一个或多个半导体衬底存储支架各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底。
一种通过缓冲站在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间传送半导体衬底的方法。所述方法包括:通过第一真空传送模块接口从第一真空传送模块传送半导体衬底到所述缓冲站的第一缓冲室内。将所述半导体衬底支撑在所述第一缓冲室内配置的下基座的支撑表面上,其中在支撑在所述下基座的所述支撑表面上的半导体衬底上执行加热或冷却操作。通过所述缓冲站的第二真空传送模块接口从所述第一缓冲室的所述下基座传送所述半导体衬底到第二真空传送模块。
附图说明
图1A和1B示出了根据本文所公开的实施例的缓冲站的横截面。
图2示出了根据本文所公开的实施例的包括缓冲站的模块化半导体衬底处理系统的方块图。
图3示出了根据本文所公开的实施例的包括缓冲站的模块化半导体衬底处理系统的方块图。
图4A-G示出了根据本文所公开的实施例的模块化半导体衬底处理系统的构造。
具体实施方式
在下面的详细描述中,阐述了示范性实施例,以便提供对本文所公开的设备和方法的理解。然而,如对于本领域技术人员将显而易见的是,所述示范性实施例可以在没有这些特定细节的情况下或可以通过使用替代元件或处理来进行实施。在其他情况下,公知的处理、步骤、和/或组件没有被详细描述,以免不必要地模糊本文所公开的实施例的方面。
图1A和1B各自示出了根据本文所公开的实施例的缓冲站100的横截面,该缓冲站100用于在诸如真空传送模块之类的半导体衬底处理系统之间穿过其中的半导体衬底的热控制。该缓冲站100包括:第一真空传送模块接口110,其可操作以允许一个或多个半导体衬底穿过其内被传送;以及第二真空传送模块接口120,其可操作以允许一个或多个半导体衬底穿过其内被传送。缓冲站包括至少一个缓冲室,例如介于第一传送模块接口110和第二传送模块接口120之间的缓冲室130。缓冲室130包括下基座140,该下基座140可操作以在其支撑表面141上接收半导体衬底。该下基座140可操作以加热或冷却支撑在其支撑表面141上的半导体衬底。该支撑表面141限定缓冲室130的下表面,其中盖170的下表面可限定缓冲室130的上表面。
缓冲室130可包括位于下基座140的上方的一个或多个半导体衬底存储支架150,其中每个半导体衬底存储支架150可操作以在其上存储相应的半导体衬底。例如,如图1A所示,缓冲室130包括三个半导体衬底存储支架150。在可选实施例中,缓冲室130可包括2个、4个、5个或更多个半导体衬底存储支架150。优选地,如图1B所示,缓冲室130包括介于下基座140和一个或多个半导体衬底存储支架150之间的隔热板160。隔热板160可由绝热材料制成并且可操作以减少支撑在下基座140上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架150上的一个或多个衬底之间的热传输。
参考图2,缓冲站100优选地与两个或更多个半导体衬底处理系统成操作关系,该半导体衬底处理系统可操作以穿过其内传送半导体衬底。例如,第一和第二真空传送模块200、300可各自包括相应的机械手,该机械手可操作以将半导体衬底放置在缓冲站100的下基座140上并且从下基座140移除该半导体衬底。相应的机械手还可以可操作以将半导体衬底放置在缓冲站100的存储支架150上并且将半导体衬底从其上移除。在一个实施例中,第一真空传送模块200的机械手可操作以将半导体衬底放置在下基座140上且将一个或多个半导体衬底放置在相应的存储支架150上。在下基座140上的半导体衬底已经经受了加热或冷却操作(或诸如原位测量处理或晶片清洁处理之类的其他处理操作)之后,第二真空传送模块300的机械手可将半导体衬底从下基座140移除并且将半导体衬底传送到与其成操作关系的处理模块400,其中第二真空传送模块300的机械手或可选地第一真空传送模块200的机械手可随后将半导体衬底从相应的存储支架150中的一个传送到下基座140,其中加热或冷却操作可以随后在半导体衬底上执行。
在另一实施例中,半导体衬底可通过第一真空传送模块200的机械手被放置在存储支架150上并且可通过第二真空传送模块300的机械手被从该存储支架150上移除而不被放置在下基座140上用于处理,或反之亦然。在另一实施例中,在处理期间可能已被毁坏的半导体衬底可以被放置和存储在半导体衬底存储支架150上,以便不会妨碍在半导体衬底处理系统10内正处理的成批的半导体衬底的吞吐。因此,本文所公开的缓冲站100的实施例可以通过以下方式来增加通过半导体衬底处理系统10的半导体衬底吞吐量:在传送期间在半导体衬底上执行处理操作;允许一个或多个半导体衬底存储在其内,使得更快获取通过缓冲站100传送的半导体衬底;以及允许已毁坏的衬底被从处理线上移除而无需花费时间来移除已毁坏的半导体衬底或干涉其余半导体衬底的处理。
参考回到图1A,缓冲站100的下基座140可包括:在支撑表面141的至少一个出口143,其可传递热传输气体到支撑在支撑表面141上的半导体衬底的下侧;以及至少一个气体通道142,其延伸通过连接到热传输气体源(未示出)的下基座140,所述热传输气体源可操作以在期望压强下提供热传输气体到至少一个气体通道142。在一个实施例中,下基座140可包括单极或双极静电夹持(ESC)电极144。当静电加持电压被施加到单极或双极ESC电极144上时,ESC电极可操作以施加静电夹持力到支撑表面141上的半导体衬底。下基座140还可包括升降杆146,该升降杆146可操作以将半导体衬底降低到下基座140的支撑表面141上以及以从下基座140的支撑表面141升高半导体衬底。包括ESC电极和可操作以降低或升高半导体衬底的升降杆的基座的示范性实施例可以在共同转让的美国专利No.6567258中找到,该专利在此通过引用被全部并入本文。
下基座140还包括加热层145,该加热层145包括独立可控的加热区域,该加热区域可操作以独立控制和调节支撑表面141的空间上的和时间上的温度(spat ial andtemporal temperature),进而控制和调节支撑在下基座140的支撑表面141上的半导体衬底的空间上的和时间上的温度。下基座140还可以包括冷却层(未示出),例如可操作以降低下基座140的温度并进而降低被支撑在其支撑表面上的半导体衬底的温度的流体冷却式散热器。包括加热层145和冷却层的基座的一个示范性实施例可以在共同转让的美国专利No.8680441中找到,该专利在此通过引用被全部并入本文。
缓冲站100配置成与模块化半导体衬底处理系统的第一和第二真空传送模块连接,从而允许半导体衬底在它们之间进行传送。优选地,缓冲站100包括:在第一真空传送模块接口110的第一缝阀(s l i tvalve)190,其配置成将缓冲室130的环境隔离;和/或在第二真空传送模块接口120的第二缝阀(未示出),其配置成将缓冲室130的环境隔离。第一缝阀190和可选的第二缝阀可以升高以进而隔离缓冲室130的环境。在另一实施例中,缓冲站100无需包括第一缝阀或第二缝阀。在一个实施例中,缓冲站100可包括对准销180,从而允许第一和第二真空传送模块接口110、120与和其连接的半导体衬底处理系统的相应端口对准。例如,缓冲站100包括可操作以将第一真空传送模块接口110的第一端口与第一真空传送模块的相应端口(未示出)对准的对准销180,以及可操作以将第二真空传送模块接口120的第一端口120a与第二真空传送模块的相应端口(未示出)对准。
例如,图2示出了根据本文所公开的实施例的包括半导体衬底处理系统和缓冲站100的模块化半导体衬底处理系统10的方块图。如图2所示,模块化半导体衬底处理系统10包括经由上装载锁60和下装载锁65与第一真空传送模块200成操作关系的EFEM 50。该EFEM50包括在其内的受控环境,例如经过滤的空气环境(例如周围的过滤空气)或如氮之类的惰性气体环境,其中所述受控环境可以是在大气压下或在比大气压更大或更小(例如真空压)的压强下。
EFEM 50包括一个或多个衬底装载口(未示出),其中每个衬底装载端口适于从例如高架式衬底传送系统(未示出)接收正面开口标准箱(FOUP),该高架式衬底传送系统可操作以将FOUP传送到相应的衬底装载端口,使得EFEM 50内的一个或多个机械手可从FOUP卸载和装载半导体衬底。FOUP是一种塑料外壳类型,其设计成保持半导体衬底(例如,通常为硅晶片(Si),但也可包括由如锗(Ge)之类的元素半导体材料、或如砷化镓(GaAs)或砷化铟(InAs)之类的化合物半导体材料所形成的各种其它衬底类型)。FOUP将衬底(未示出)牢固且安全地保持在其受控环境中。在一个实施例中,EFEM 50的受控环境可以是在真空压下,其中附接到衬底装载端口的FOUP同样是在真空压下。
第一真空传送模块200可与一个或多个处理模块(未示出)成操作关系,每个处理模块可操作以在其内的半导体衬底上执行一个或多个处理。与一个或多个FOUP成操作关系以及通过上装载锁和下装载锁60、65与一个或多个处理模块成操作关系并且与具有可操作以穿过其中传送半导体衬底的一个或多个机械系统(即机械手)的真空传送模块200成操作关系的EFEM 50(其中可被称为集群处理工具)的一个示范性实施例可以在共同转让的美国专利No.8430620、8282698以及8562272中找到,这些专利通过引用被全部并入本文。
上装载锁和下装载锁60、65内部的压强能够由装载锁真空泵66控制,该装载锁真空泵66经由上装载锁阀60a与上装载锁60流体连接并且经由下装载阀65a与下装载锁65流体连接,其中上装载锁60、65优选地彼此流体隔离。在这种方式中,上装载锁60能够专用于从EFEM 50传送入站的(例如,未经处理的)衬底到真空传送模块200,而下装载锁65能够专用于从第一真空传送模块200传送出站的(例如,经处理的)衬底到EFEM50。优选地,第一真空传送模块200内的压强能够由第一真空泵205控制,该第一真空泵205经由第一真空传送模块阀200a与第一真空传送模块200流体连接,使得可以在第一真空传送模块200内维持真空环境。第一真空传送模块200还优选地与第一真空传送质量流量控制器210流体连接,该第一真空传送质量流量控制器210可操作以供应惰性气体到第一真空传送模块200的内部。
第一真空传送模块200能够经由缓冲站100与第二真空传送模块300成操作关系。在一个实施例中,缓冲站100能够由框架500支撑,该框架500还支撑第二真空传送模块300。在可选的实施例中,缓冲站100能够由第一真空传送模块框架600支撑,该框架600还支撑第一真空传送模块200,或可选地,缓冲站100能够悬浮在第一真空传送模块和第二真空传送模块200、300。
缓冲站100与第一真空传送模块200和第二真空传送模块300连接,从而使得半导体衬底在它们之间被传送。在第二真空传送模块300内的压强能够由第二真空泵305控制,该第二真空泵305经由第二真空传送模块阀300a与第二真空传送模块300流体连接,使得能够在第二真空传送模块300内维持真空环境。第二真空传送模块300能够可选地与第二真空传送质量流量控制器(未示出)流体连接,该第二真空传送质量流量控制器可操作以供应惰性气体到第一真空传送模块300的内部。第二真空传送模块300可与一个或多个处理模块400成操作关系,每个处理模块400可操作以在其内的半导体衬底上执行一个或多个处理操作。
缓冲站100优选地与惰性气体供应源流体连接。例如,该惰性气体供应源可由缓冲站质量流量控制器111输送,该缓冲站质量流量控制器111可操作以供应诸如氮之类的惰性气体到缓冲站100的缓冲室130。惰性气体能够促进缓冲站100的下基座140和支撑在该下基座140上的半导体衬底之间的热传输(参见图1A和1B)。缓冲站100优选地与真空泵流体连接,该真空泵可操作以控制其缓冲室130内部的压强。例如,如图2所示,缓冲站100能够与第二真空传送模块300共享第二真空泵305。在另一实施例中,即使在第一真空传送模块接口110处的缓冲站100的第一缝阀190(参见图1A和1B)处于关闭位置的情况下,阀250也可以将缓冲站100的真空环境(即,缓冲室130)与第一真空传送模块200真空连接和隔离。在一个实施例中,缓冲站100能够流体连接到与第一和第二真空泵205、305不同的真空泵。
优选地,第一真空传送模块200经由第一缝阀190与缓冲站100连接,该第一缝阀190可操作以将缓冲室130的环境与第一真空传送模块200的真空环境隔离。在另一实施例中,缓冲站100可经由第二缝阀与第二真空传送模块300连接,该第二缝阀可操作以将缓冲室130的环境与第二真空传送模块300的真空环境隔离。例如,图3示出了根据本文所公开的实施例的包括缓冲站100的模块化半导体衬底处理系统10的方块图,其中第二缝阀195可操作以将缓冲室130的环境与第二真空传送模块300的真空环境隔离。
在一个实施例中,如图3所示,缓冲站100可以包括配置在第二缓冲室130b上方的第一缓冲室130a。第一缓冲室130a优选地可操作以使半导体衬底能被从第一真空传送模块200传送到第二真空传送模块300,第二缓冲室130b可操作以使半导体衬底能被从第二真空传送模块300传送到第一真空传送模块200,或反之亦然。在一个实施例中,包括在第一和/或第二真空传送模块200、300内的相应的机械手可能无法使半导体衬底在垂直方向上上下延伸,使得相应的机械手能够将半导体衬底传送到第一缓冲室130a内的下基座的支撑表面和第二缓冲室130b内的下基座的支撑表面两者。在此实施例中,驱动器199可操作以使第一和第二缓冲室130a、130b上升和下降,从而使半导体衬底能被通过第一缓冲室130a(在下降了的位置处)传送,并且使第一和第二缓冲室130a、130b上升从而使得半导体衬底能被传送通过第二缓冲室130b。在一个实施例中,第二缓冲室130b的上表面可由第一缓冲室130a的下基座的下表面限定。
参考回图1A和1B,在一个实施例中,缓冲站100的盖170可以是能移动的,从而允许访问缓冲站100的缓冲室130,使得缓冲站100可被检修。优选地,放置在O型圈槽188内的O型圈形成了盖170的下表面与缓冲站100的上表面之间的密封(例如真空密封)。此外,下基座140可以是能移动的,从而允许下基座140被修复或替换。例如,如果半导体衬底处理操作需要在诸如高于400℃这样的高温下处理半导体衬底,则具有将半导体衬底预加热到高于400℃的温度的能力的下基座可以将不具有这种能力的下基座替换,进而允许在半导体衬底通过第一或第二真空传送模块被传送到相应处理模块之前达到这样的温度,其中处理操作在高温下执行。在半导体衬底被传送到相应处理模块用于处理之前预加热半导体衬底增加了所处理的半导体衬底的吞吐量,因为在处理模块内加热半导体衬底到适当的处理温度所花费的时间减少了。此外,放置在O型圈沟槽189内的O型圈可形成下基座140的上表面与缓冲站100的下表面之间的密封(例如真空密封)。
如本文所公开的缓冲站100的实施例可用于形成模块化半导体衬底处理系统10。如本文所使用的,模块化半导体衬底处理系统10是具有离散模块的半导体衬底处理系统,该离散模块可被配置和/或重配置成各种构造,具体取决于在其内的一个或多个半导体衬底上要执行的半导体衬底处理操作。例如,模块化半导体衬底处理系统10可包括两个或更多个真空传送模块450或者包括三个或更多个真空传送模块450,该真空传送模块450设置有连接在相应真空处理模块450之间的一个或多个缓冲站100。
例如,图4A-4G示出了用于模块化半导体衬底处理系统10的可能的构造,其各自包括连接到一个或多个FOUP 35用于供应半导体衬底到模块化处理系统10的EFEM 50。模块化半导体衬底处理系统10可包括用于改变半导体衬底的压力环境的装载锁460以及与相应处理模块400成操作关系的两个或多个真空传送模块450,其中真空传送模块450各自可操作以传送半导体衬底到相应处理模块400。模块化半导体衬底处理系统10可包括连接在相应的真空传送模块450之间的一个或多个缓冲站100,其中该缓冲站100可操作以在衬底通过真空传送模块450被传送到相应处理模块400或回到EFEM 50之前预加热或冷却半导体衬底,使得半导体衬底能够被存储在相应的FOUP 35以及从模块化半导体衬底处理系统10移除。模块化半导体衬底处理系统10还可包括处理模块425,该处理模块425可操作以在处理操作期间在半导体衬底上执行半导体衬底清洁操作或原位光学临界尺寸测量操作。图4G示出了根据本文所公开的实施例的半导体衬底通过模块化半导体衬底处理系统10的路径550。
处理模块400可在半导体衬底上执行诸如半导体衬底蚀刻、材料沉积和半导体衬底清洁操作之类的处理操作,该处理操作包括例如以下操作:等离子体蚀刻、原子层蚀刻(ALE)、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、分子层沉积(MLD)、等离子体增强脉冲沉积层(PEPDL)处理、抗蚀剂去除、原位测量、干法清洁、湿法半导体衬底清洁、离子束蚀刻(IBE)和湿法蚀刻(例如,化学蚀刻)。
本文所公开的模块化半导体衬底处理系统10和相关的半导体衬底处理系统可与电子器件集成用于在半导体晶片或半导体衬底的处理之前、期间和之后控制它们的操作。所述电子器件可以被称为“控制器”,其可以控制系统或多个系统的不同组件或子部分。例如,如图4G中所示,模块化半导体晶片处理系统10和/或EFEM 50包括相关联的控制器156。控制器156根据处理要求和/或模块化半导体衬底处理系统10的类型可被编程以控制本文所公开的任何处理,包括处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、半导体衬底传送进出工具和其他传输工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以被限定为具有接收指令、发出指令、控制操作、启动清洁操作、启动终点测量等等的各种集成电路、逻辑、存储器、和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路的芯片(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种不同单独设置(或程序文件)的形式通信到控制器的指令、限定用于在半导体晶片上或针对半导体衬底或对于系统执行特定处理的操作参数的指令。所述操作参数在一些实施例中可以是由工艺工程师所定义的配方的部分,以在制造衬底的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路、和/或管芯期间完成一个或多个处理步骤。
控制器156在一些实现方案中可以是计算机的部分或耦合到计算机,所述计算机与系统集成、耦合到系统,或联网到系统,或这些的组合。例如,该控制器可以是在“云”中或是工厂(fab)主计算机系统的全部或部分,其可以允许对半导体衬底处理的远程访问。计算机可以启用对系统的远程访问来监控制造操作的当前进展、检查过去制造操作的历史记录、从多个制造操作检查趋势或性能度量,以改变当前处理的参数,以设置处理的步骤来跟随当前处理,或以开始新的过程。在一些例子中,远程计算机(例如服务器)可以通过网络提供工艺配方给系统,该网络可以包括局域网或互联网。远程计算机可以包括用户界面,该用户界面能进行参数和/或设置的输入或编程,这些参数和/或设置然后从远程计算机被传送到系统。在一些实例中,控制器接收数据形式的指令,其针对在一个或多个操作期间待执行的每一个处理步骤指定参数。但是应当理解的是,所述参数可以特定于待执行的处理类型和工具类型,控制器被配置成与该工具类型连接或控制该工具类型。因此,如上所述,控制器156可以是分布式的,例如包括被联网在一起并朝着共同目的(如本文中所描述的处理和控制)而工作的一个或多个离散控制器。用于这种目的的分布式控制器的一个例子将是与位于远程的一个或多个集成电路(如在平台级别(即晶片处理系统10)或作为远程计算机的一部分)通信的室上的一个或多个集成电路,这些集成电路相结合来控制室上的处理。
非限制性地,模块化半导体衬底处理系统10的实例可包括处理室,处理室包括等离子体蚀刻室或模块、沉积室或模块、旋洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以在半导体衬底的制造和/或生产中关联或使用的任何其它半导体处理设备或系统。
如上所述,根据待由模块化半导体衬底处理系统10执行的方法步骤或多个方法步骤,控制器156可与以下一种或多种通信:其它工具电路或模块、其它工具组件、集群工具、其它工具接口、相邻工具、邻接工具、位于整个工厂的工具、主机、另一控制器、或用于材料运输中的工具,该工具在半导体制造工厂内将半导体衬底容器运送到工具位置和/或装载口并且从该工具位置和/或装载口运送半导体衬底容器。优选地,非短暂性计算机可读介质包括用于模块化半导体衬底处理系统10的控制的程序指令。
在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间通过缓冲站传送半导体衬底的方法可包括从第一真空传送模块通过第一传送模块接口传送半导体衬底到缓冲站的第一缓冲室内。半导体衬底可以被支撑在配置在第一缓冲室内的下基座的支撑表面上,其中在下基座的支撑表面上支撑的半导体衬底上执行加热或冷却操作。半导体衬底可随后被从第一缓冲室的下基座通过缓冲站的第二真空传送模块接口传送到第二真空传送模块,其中真空传送模块可将半导体衬底传送到与其成操作关系的处理模块,使得可在衬底上执行处理操作。
该方法还可包括:在半导体衬底被支撑在缓冲室的下基座的支撑表面之前在第一缓冲室内的半导体衬底存储支架上存储半导体衬底;在加热或冷却操作期间增大在第一缓冲室内的压强,从而增加在下基座的支撑表面与半导体衬底之间的热传输;在加热或冷却操作期间在第一缓冲室内提供惰性气体环境;在加热或冷却操作期间将第一缓冲室环境与第一和/或第二真空传送模块隔离;在加热或冷却操作期间输送热传输气体到支撑在支撑表面上的半导体衬底的下侧;在加热或冷却操作期间将半导体衬底静电地夹持到下基座的支撑表面;和/或在加热或冷却操作期间利用独立可控的加热区域的加热层独立地控制和调节下基座的支撑表面的空间上的和时间上的温度,从而控制后续处理操作。
该方法还可包括从第二真空室通过第二真空传送模块接口传送半导体衬底到缓冲站的第一缓冲室内,其中半导体衬底支撑在配置在第一缓冲室内的下基座的支撑表面上。可以在支撑在下基座的支撑表面上的半导体衬底上执行可选的加热或冷却操作,或可替换地,半导体衬底可以存储在第一缓冲室内的半导体衬底存储支架上。半导体衬底随后可以被从第一缓冲室的下基座或存储支架通过缓冲站的第一真空传送模块接口传送到第一真空传送模块。
在另一实施例中,该方法可包括将半导体衬底从第二真空室通过第二真空传送模块接口传送到缓冲站的第二缓冲室内。半导体衬底随后可被支撑在配置在第二缓冲室内的下基座的支撑表面上,其中可在支撑在下基座的支撑表面上的半导体衬底上执行可选的加热或冷却操作,或替换地,半导体衬底可以存储在第二缓冲室内的半导体衬底存储支架上。半导体衬底随后可以被从第二缓冲室的下基座或存储支架通过缓冲站的第一真空传送模块接口传送到第一真空传送模块。衬底随后可以被通过EFEM传送到相应FOUP并且被从半导体衬底处理系统移除。
此外,当用语“一般”、“相对”和“基本”与几何形状结合使用时,其目的是无需精确的几何形状但形状余量是位于在本公开的范围内。当与几何术语一起使用时,用语“一般”、“相对”和“基本”意在不仅包括符合严格定义的特征,还包括相当于近似严格定义的特征。
虽然包括等温沉积室的等离子体处理装置被参照其具体实施方案进行了详细描述,但对于本领域技术人员显而易见的是,可以在不脱离所附权利要求的主旨的范围内进行各种变化和修改并采用等同物。

Claims (25)

1.一种用于热控制模块化半导体衬底处理系统内的穿过其内被传送的半导体衬底的缓冲站,所述缓冲站配置成与所述模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:
第一真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;
第二真空传送模块接口,其配置成允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,
所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递。
2.根据权利要求1所述的缓冲站,其中所述下基座包括加热层,所述加热层包括独立可控的加热区域,所述加热区域能操作以独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度;和/或所述下基座包括冷却层,所述冷却层能操作以降低所述下基座的温度。
3.根据权利要求1所述的缓冲站,其中所述至少一个缓冲室包括在所述下基座和所述一个或多个半导体衬底存储支架之间的隔热板,其中所述隔热板能操作以减少在处理期间在支撑于所述下基座上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架上的一个或多个衬底之间的热传输。
4.根据权利要求1所述的缓冲站,其中至少以下之一:
(a)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室配置成允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,所述第二缓冲室配置成允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块;和
(b)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室配置成允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,所述第二缓冲室配置成允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块,其中所述缓冲站包括驱动器,所述驱动器能操作以降低所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第一缓冲室被传送,以及以升高所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第二缓冲室被传送。
5.根据权利要求1所述的缓冲站,其中至少以下之一:
(a)所述缓冲站配置成与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;
(b)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀配置成将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;
(c)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀配置成将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;
(d)所述缓冲站包括配置成将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;和
(e)所述缓冲站包括配置成将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销。
6.根据权利要求1所述的缓冲站,其中所述下基座包括至少以下之:
(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;
(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和
(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上并且以从所述下基座的所述支撑表面升高所述半导体衬底。
7.一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统,所述模块化半导体衬底处理系统包括:
第一真空传送模块;
第二真空传送模块;以及
缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:
第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;
第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,
所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递。
8.根据权利要求7所述的模块化半导体衬底处理系统,其中所述下基座包括加热层,所述加热层包括独立可控的加热区域,所述加热区域能操作以独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度;和/或所述下基座包括冷却层,所述冷却层能操作以降低所述下基座的温度。
9.根据权利要求7所述的模块化半导体衬底处理系统,其中所述至少一个缓冲室包括在所述下基座和所述一个或多个半导体衬底存储支架之间的隔热板,其中所述隔热板能操作以减少在处理期间在支撑于所述下基座上的半导体衬底与支撑在相应的一个或多个半导体衬底存储支架上的一个或多个衬底之间的热传输。
10.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:
(a)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室能操作以允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,而所述第二缓冲室能操作以允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块;和
(b)所述至少一个缓冲室包括配置在第二缓冲室上方的第一缓冲室,其中所述第一缓冲室能操作以允许半导体衬底被从所述第一真空传送模块传送到所述第二真空传送模块,而所述第二缓冲室能操作以允许半导体衬底被从所述第二真空传送模块传送到所述第一真空传送模块,其中所述缓冲站包括驱动器,所述驱动器能操作以降低所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第一缓冲室被传送,以及以升高所述第一缓冲室和所述第二缓冲室从而允许半导体衬底通过所述第二缓冲室被传送。
11.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:
(a)所述缓冲站与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;
(b)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀能操作以将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;
(c)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀能操作以将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;
(d)所述缓冲站包括能操作以将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;
(e)所述缓冲站包括能操作以将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销;
(f)所述模块化半导体衬底处理系统进一步包括控制器,其配置成控制由所述系统执行的处理;和/或
(g)所述模块化半导体衬底处理系统进一步包括非暂时性计算机机器可读介质,其包括用于控制所述系统的程序指令。
12.根据权利要求7所述的模块化半导体衬底处理系统,其中所述下基座包括至少以下之一:
(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;
(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和
(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上以及以从所述下基座的所述支撑表面升高所述半导体衬底。
13.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:
(a)所述第一真空传送模块与设备前端模块(EFEM)成操作关系,所述EFEM能操作以在大气压下从正面开口标准箱(FOUP)接收半导体衬底并且将所述半导体衬底传送到所述第一真空传送模块的真空环境;
(b)所述第一真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作;
(c)所述第二真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作;
(d)所述第一真空传送模块包括在其真空环境内的机械手,所述机械手能操作以通过所述第一真空传送模块接口传送半导体衬底;和
(e)所述第二真空传送模块包括在其真空环境内的机械手,所述机械手能操作以通过所述第二真空传送模块接口传送半导体衬底。
14.根据权利要求7所述的模块化半导体衬底处理系统,其中至少以下之一:
(a)所述缓冲站由所述第一真空传送模块和所述第二真空传送模块的框架支撑;和
(b)所述缓冲站悬挂在所述第一真空传送模块和所述第二真空传送模块之间。
15.一种包括三个或更多个真空传送模块的模块化半导体衬底处理系统,每个真空传送模块通过根据权利要求1所述的缓冲站与至少一个其它真空传送模块成操作关系。
16.根据权利要求15所述的模块化半导体衬底处理系统,其中至少以下之一:
(a)所述真空传送模块中的至少一个与EFEM成操作关系,所述EFEM能操作以在大气压下从正面开口标准箱(FOUP)接收半导体衬底并且将所述半导体衬底传送到其真空环境;和
(b)每个真空传送模块与一个或多个半导体衬底处理模块成操作关系,所述一个或多个半导体衬底处理模块能操作以在半导体衬底上执行一个或多个处理操作。
17.一种通过缓冲站在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间传送半导体衬底的方法,所述方法包括:
通过第一真空传送模块接口从第一真空传送模块传送半导体衬底到所述缓冲站的第一缓冲室内;
将所述半导体衬底支撑在所述第一缓冲室内配置的下基座的支撑表面上并形成所述缓冲室的底部最内表面;
在支撑在所述下基座的所述支撑表面上的半导体衬底上执行加热或冷却操作,其中所述缓冲站被配置成与惰性气体供给装置流体连通以将惰性气体供给到所述第一缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递;
通过所述缓冲站的第二真空传送模块接口从所述第一缓冲室的所述下基座传送所述半导体衬底到第二真空传送模块;以及
在所述半导体衬底被支撑在所述缓冲室的所述下基座的所述支撑表面上之前,将所述半导体衬底存储在所述第一缓冲室内的半导体衬底存储支架上,所述半导体衬底存储支架位于下基座上方并从第一缓冲室的侧壁向外延伸。
18.如权利要求17所述的方法,还包括以下至少一项:
(a)在所述加热和冷却操作期间,增大所述第一缓冲室内的压强从而增加所述下基座的所述支撑表面与所述半导体衬底之间的热传输;
(b)在所述加热或冷却操作期间在第一缓冲室中提供惰性气体环境;热和冷却操作期间,在所述第一缓冲室内提供惰性气体环境;
(c)在所述加热和冷却操作期间,将所述第一缓冲室环境与所述第一真空传送模块和/或第二真空传送模块隔离;
(d)在所述加热和冷却操作期间,输送热传输气体到支撑在所述支撑表面上的所述半导体衬底的下侧;
(e)在所述加热和冷却操作期间,静电夹持所述半导体衬底到所述下基座的所述支撑表面;和
(f)在所述加热和冷却操作期间,利用具有独立可控加热区域的加热层来独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度。
19.根据权利要求17所述的方法,其还包括:
通过所述第二真空传送模块接口从所述第二真空室传送所述半导体衬底到所述缓冲站的所述第一缓冲室内;
将所述半导体衬底支撑在配置在所述第一缓冲室内的所述下基座的所述支撑表面上并且执行以下之一:
对支撑在所述下基座的所述支撑表面上的所述半导体衬底进行加热或冷却操作;和
将所述半导体衬底存储在第一缓冲室中的第二半导体衬底存储架上;以及
通过所述缓冲站的所述第一真空传送模块接口从所述第一缓冲室传送所述半导体衬底到所述第一真空传送模块。
20.根据权利要求17所述的方法,其还包括:通过所述第二真空传送模块接口从所述第二真空室传送所述半导体晶片到所述缓冲站的所述第二缓冲室内;
将所述半导体衬底支撑在配置在所述第二缓冲室内的所述下基座的所述支撑表面上并且执行以下之一:
对支撑在所述下基座的所述支撑表面上的所述半导体衬底的加热或冷却操作;以及
将所述半导体衬底存储在所述第二缓冲室内的半导体衬底存储支架上;以及
通过所述缓冲站的所述第一真空传送模块接口从所述第二缓冲室传送所述半导体衬底到所述第一真空传送模块。
21.一种用于在模块化半导体衬底处理系统中对半导体衬底进行热控制的缓冲站,该缓冲站被配置为与所述模块化半导体衬底处理系统的第一和第二真空传送模块接口,从而允许半导体衬底在其间转移,该缓冲站包括:
第一真空传送模块接口,被配置为允许一个或多个半导体衬底在真空压力下在第一真空传送模块和缓冲站之间传送;
第二真空传送模块接口,被配置为允许一个或多个半导体衬底在真空压力下在第二真空传送模块和缓冲站之间传送;和
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递,
其中至少以下之一:
(a)所述缓冲站被配置为与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;
(b)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀能操作以将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;
(c)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀能操作以将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;
(d)所述缓冲站包括配置为将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;和
(e)所述缓冲站包括配置为将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销。
22.一种用于在模块化半导体衬底处理系统中对半导体衬底进行热控制的缓冲站,该缓冲站被配置为与模块化半导体衬底处理系统的第一和第二真空传送模块接口,从而允许半导体衬底在其间转移,该缓冲站包括:
第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;
第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,
所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递,
其中,所述下基座包括以下至少之一:
(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;
(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和
(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上并且以从所述下基座的所述支撑表面升高所述半导体衬底。
23.一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统,所述模块化半导体衬底处理系统包括:
第一真空传送模块;
第二真空传送模块;以及
缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:
第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;
第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,
其中至少一项:
(a)所述缓冲站与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递;
(b)所述缓冲站与真空泵流体连接,所述真空泵能操作以控制所述至少一个缓冲室内的压强;
(c)所述第一真空传送模块接口包括能操作以密封所述第一真空传送模块接口的第一端口的第一缝阀,所述第一缝阀能操作以将所述至少一个缓冲室的环境与所述第一真空传送模块的真空环境隔离;
(d)所述第二真空传送模块接口包括能操作以密封所述第二真空传送模块接口的第一端口的第二缝阀,所述第二缝阀能操作以将所述至少一个缓冲室的环境与所述第二真空传送模块的真空环境隔离;
(e)所述缓冲站包括能操作以将所述第一真空传送模块接口的第一端口与所述第一真空传送模块的相应端口对准的对准销;
(f)所述缓冲站包括能操作以将所述第二真空传送模块接口的第一端口与所述第二真空传送模块的相应端口对准的对准销;
(g)所述模块化半导体衬底处理系统进一步包括控制器,其配置成控制由所述系统执行的处理;和/或
(h)所述模块化半导体衬底处理系统进一步包括非暂时性计算机机器可读介质,其包括用于控制所述系统的程序指令。
24.一种包括用于热控制穿过其内传送的半导体衬底的缓冲站的模块化半导体衬底处理系统,所述模块化半导体衬底处理系统包括:
第一真空传送模块;
第二真空传送模块;以及
缓冲站,其与所述第一真空传送模块和所述第二真空传送模块连接,从而允许半导体衬底在它们之间被传送,所述缓冲站包括:
第一真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第一真空传送模块和所述缓冲站之间被传送;
第二真空传送模块接口,其能操作以允许一个或多个半导体衬底在真空压下在所述第二真空传送模块和所述缓冲站之间被传送;以及
在所述第一真空传送模块接口和所述第二真空传送模块接口之间的至少一个缓冲室,所述至少一个缓冲室中的每一个包括:形成所述缓冲室的底部最内表面的下基座,其能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底在其支撑表面上,并且其能操作以在其上所接收的所述半导体衬底上执行加热或冷却操作,以及在所述下基座上方的一个或多个半导体衬底存储支架,其从所述缓冲室向外延伸,并且其各自能操作以从所述第一真空传送模块或所述第二真空传送模块接收半导体衬底,其中每个半导体衬底存储支架能操作以在其上存储相应的半导体衬底,
所述缓冲站被配置成与惰性气体供给装置流体连通,该惰性气体供给装置可操作以将惰性气体供给到所述至少一个缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递,
其中,所述下基座包括以下至少之一:
(a)在所述支撑表面的至少一个出口,其输送热传输气体到支撑在其上的半导体衬底的下侧;以及至少一个气体通道,其延伸通过连接到热传输气体源的所述下基座,所述热传输气体源能操作以在期望压强下供应热传输气体到所述至少一个气体通道;
(b)单极或双极静电夹持电极,其能操作以在静电夹持电压被施加到所述单极或双极静电夹持电极上时,施加静电夹持力到所述支撑表面上的半导体衬底;和
(c)升降杆,其能操作以降低半导体衬底到所述下基座的所述支撑表面上并且以从所述下基座的所述支撑表面升高所述半导体衬底。
25.一种通过缓冲站在模块化半导体衬底处理系统的第一真空传送模块和第二真空传送模块之间传送半导体衬底的方法,所述方法包括:
通过第一真空传送模块接口从第一真空传送模块传送半导体衬底到所述缓冲站的第一缓冲室内;
将所述半导体衬底支撑在所述第一缓冲室内配置的下基座的支撑表面上并形成所述缓冲室的底部最内表面;
在支撑在所述下基座的所述支撑表面上的半导体衬底上执行加热或冷却操作,其中所述缓冲站被配置成与惰性气体供给装置流体连通以将惰性气体供给到所述第一缓冲室以便促进所述下基座和支撑在所述下基座上的所述半导体衬底之间的热传递;
通过所述缓冲站的第二真空传送模块接口从所述第一缓冲室的所述下基座传送所述半导体衬底到第二真空传送模块;以及
至少以下之一:
(a)在所述半导体衬底被支撑在所述缓冲室的所述下基座的所述支撑表面上之前,将所述半导体衬底存储在所述第一缓冲室内的半导体衬底存储支架上;
(b)在所述加热和冷却操作期间,增大所述第一缓冲室内的压强从而增加所述下基座的所述支撑表面与所述半导体衬底之间的热传输;
(c)在所述加热或冷却操作期间在第一缓冲室中提供惰性气体环境;热和冷却操作期间,在所述第一缓冲室内提供惰性气体环境;
(d)在所述加热和冷却操作期间,将所述第一缓冲室环境与所述第一真空传送模块和/或第二真空传送模块隔离;
(e)在所述加热和冷却操作期间,输送热传输气体到支撑在所述支撑表面上的所述半导体衬底的下侧;
(f)在所述加热和冷却操作期间,静电夹持所述半导体衬底到所述下基座的所述支撑表面;和
(g)在所述加热和冷却操作期间,利用具有独立可控加热区域的加热层来独立控制和调节所述下基座的所述支撑表面的空间上的和时间上的温度。
CN202210407940.7A 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法 Pending CN115020276A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/522,338 2014-10-23
US14/522,338 US9673071B2 (en) 2014-10-23 2014-10-23 Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
CN201510696876.9A CN105551998A (zh) 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510696876.9A Division CN105551998A (zh) 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法

Publications (1)

Publication Number Publication Date
CN115020276A true CN115020276A (zh) 2022-09-06

Family

ID=55792560

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210407940.7A Pending CN115020276A (zh) 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法
CN201510696876.9A Pending CN105551998A (zh) 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510696876.9A Pending CN105551998A (zh) 2014-10-23 2015-10-23 用于半导体衬底热控制的缓冲站及传送半导体衬底的方法

Country Status (5)

Country Link
US (1) US9673071B2 (zh)
JP (1) JP2016105462A (zh)
KR (1) KR102534391B1 (zh)
CN (2) CN115020276A (zh)
TW (1) TWI713471B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020023409A1 (en) 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US11061417B2 (en) * 2018-12-19 2021-07-13 Applied Materials, Inc. Selectable-rate bottom purge apparatus and methods
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
JP7221403B2 (ja) * 2019-09-05 2023-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2023523663A (ja) * 2019-09-06 2023-06-07 アプライド マテリアルズ インコーポレイテッド シャッターディスク
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
US11810805B2 (en) * 2020-07-09 2023-11-07 Applied Materials, Inc. Prevention of contamination of substrates during gas purging
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
CN113611633B (zh) * 2021-07-21 2023-01-17 北京北方华创微电子装备有限公司 晶圆烘烤腔室及其晶圆预清洁方法
KR102606707B1 (ko) 2021-10-14 2023-11-29 주식회사 저스템 웨이퍼 버퍼용 챔버장치

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
FI110007B (fi) * 1990-02-28 2002-11-15 Dsm Nv Menetelmä proteiinien tuottamiseksi
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US5609698A (en) 1995-01-23 1997-03-11 General Electric Company Processing of gamma titanium-aluminide alloy using a heat treatment prior to deformation processing
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6543981B1 (en) 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4153781B2 (ja) * 2002-01-31 2008-09-24 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US7309618B2 (en) 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US7153388B2 (en) 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7680559B2 (en) 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7536538B1 (en) 2005-03-31 2009-05-19 Lam Research Corporation Cluster tools for processing substrates using at least a key file
US7604449B1 (en) 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP3124289U (ja) * 2006-06-02 2006-08-10 株式会社島津製作所 クラスタ型装置
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
WO2008116222A2 (en) 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US7798388B2 (en) 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8317934B2 (en) 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
US20140119858A1 (en) 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber

Also Published As

Publication number Publication date
TWI713471B (zh) 2020-12-21
KR20160047994A (ko) 2016-05-03
TW201628119A (zh) 2016-08-01
US9673071B2 (en) 2017-06-06
KR102534391B1 (ko) 2023-05-18
US20160118280A1 (en) 2016-04-28
JP2016105462A (ja) 2016-06-09
CN105551998A (zh) 2016-05-04

Similar Documents

Publication Publication Date Title
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
US20230420218A1 (en) Apparatuses and methods for avoiding electrical breakdown from rf terminal to adjacent non-rf terminal
KR102533126B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
CN105529293B (zh) 用于传送晶片的设备前端模块以及传送晶片的方法
US10262887B2 (en) Pin lifter assembly with small gap
JP2016105462A5 (zh)
KR102521160B1 (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
US20200083071A1 (en) Reduced footprint platform architecture with linear vacuum transfer module
US10559483B2 (en) Platform architecture to improve system productivity
CN115132558A (zh) 等离子体处理系统和环状部件的安装方法
TWI668791B (zh) 基板處理設備及使用該基板處理設備的基板處理方法
US20210125854A1 (en) Substrate processing apparatus
KR100803562B1 (ko) 기판 처리 장치
KR20160141244A (ko) 기판 처리 장치 및 방법
US20220403506A1 (en) Automated cleaning of robot arms of substrate processing systems
US20220238312A1 (en) Showerhead insert for uniformity tuning
TW202201463A (zh) 基板處理工具的線性配置
JP2023130880A (ja) 基板処理室内に配置される部材を搬送する装置、基板処理システム及び前記部材を搬送する方法
JP2020194890A (ja) 基板処理システム及び基板処理システムの制御方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination