TW202201463A - 基板處理工具的線性配置 - Google Patents

基板處理工具的線性配置 Download PDF

Info

Publication number
TW202201463A
TW202201463A TW110107288A TW110107288A TW202201463A TW 202201463 A TW202201463 A TW 202201463A TW 110107288 A TW110107288 A TW 110107288A TW 110107288 A TW110107288 A TW 110107288A TW 202201463 A TW202201463 A TW 202201463A
Authority
TW
Taiwan
Prior art keywords
processing
row
substrate processing
processing system
modules
Prior art date
Application number
TW110107288A
Other languages
English (en)
Inventor
丹尼 亞瑟 布朗
布萊恩 蒙澤 布拉德利
瑪麗莎 埃萊娜 奧爾蒂斯
麥可 C 克拉吉
埃米爾 內諾夫
凱文 隆
克利斯多福 J 佩納
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202201463A publication Critical patent/TW202201463A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種基板處理系統包含一真空傳送模組、以及複數處理模組,其界定相應的處理腔室。該複數處理模組包含設置在該真空傳送模組之第一側的第一列的處理模組、以及設置在該真空傳送模組之與該第一側相對之第二側的第二列的處理模組。該複數處理模組之各者包含:一氣體箱,其係設置在該處理模組上方且係配置以將至少一氣體及/或氣體混合物選擇性地供應至該處理模組的該處理腔室中;以及一射頻(RF)產生器,其係配置以產生RF功率俾在該處理腔室內產生電漿。該RF產生器係設置在該處理模組上方,且該氣體箱及該RF產生器係並排地設置在該處理模組上方。

Description

基板處理工具的線性配置
本發明係關於基板處理工具架構,更具體而言,關於基板處理工具的線性配置。
[相關申請案的交互參照] 本申請案主張2020年3月2日提交的美國臨時專利申請案第62/983,829號的權益。在此將上述申請案的全部揭示內容引入以供參照。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以執行基板(如半導體晶圓)之沉積、蝕刻、及/或其他處理。在處理期間,將基板設置於基板處理系統之處理腔室中的基板支座上。將包含一或更多前驅物的氣體混合物導入處理腔室中,並且可觸發電漿以活化化學反應。
基板處理系統可包含設置在製造室內的複數基板處理工具。該等基板處理工具之各者可包含複數處理模組或腔室。基板係經由一或更多中間腔室(例如前開式晶圓傳送盒(FOUP)、設備前端模組(EFEM)、及/或負載鎖室)而傳送至基板處理工具中。基板係在真空傳送模組(VTM)內於複數處理模組之間傳送。
一種基板處理系統包含一真空傳送模組、以及複數處理模組,其界定相應的處理腔室。該複數處理模組包含設置在該真空傳送模組之第一側的第一列的處理模組、以及設置在該真空傳送模組之與該第一側相對之第二側的第二列的處理模組。該複數處理模組之各者包含:一氣體箱,其係設置在該處理模組上方且係配置以將至少一氣體及/或氣體混合物選擇性地供應至該處理模組的該處理腔室中;以及一射頻(RF)產生器,其係配置以產生RF功率俾在該處理腔室內產生電漿。該RF產生器係設置在該處理模組上方,且該氣體箱及該RF產生器係並排地設置在該處理模組上方。
在其他特徵中,該基板處理系統具有一線性軸,該第一及第二列的處理模組係平行於該線性軸且設置於該線性軸的相對兩側,且該氣體箱係相對於該基板處理系統之線性軸而設置在該RF產生器的內側。該RF產生器係相對於該基板處理系統之線性軸而設置在該氣體箱的內側。該處理模組具有由該處理模組之外周所界定的垂直柱覆蓋區,且該氣體箱及該RF產生器之外周不超出該處理模組的該垂直柱覆蓋區。
在其他特徵中,該第一列的處理模組係配置以進行第一處理,且該第二列的處理模組係配置以進行第二處理。該第一處理為介電質蝕刻處理,且該第二處理為導體蝕刻處理。該第一列的處理模組係配置以獨立於進行該第二處理之該第二列的處理模組而進行該第一處理。
在其他特徵中,該基板處理系統更包含一配電組件,其係配置以將功率供應至該第一列的處理模組及該第二列的處理模組。該配電組件係配置以獨立於供應功率至該第二列的處理模組之操作而將功率供應至該第一列的處理模組。該配電組件係設置在該真空傳送模組之第三側且介於該第一側與該第二側之間。該基板處理系統更包含一上鎖/掛牌(LOTO)組件,其係設置在該真空傳送模組的該第三側。該基板處理系統更包含一設備前端模組(EFEM),其係設置在該真空傳送模組之與該第三側相對的第四側。
在其他特徵中,該基板處理系統更包含配置以將氣體分配至該第一列的處理模組之第一設施氣體介面箱、以及配置以將氣體分配至該第二列的處理模組之第二設施氣體介面箱。該第一設施氣體介面箱係配置以獨立於將氣體分配至該第二列的處理模組之該第二設施氣體介面箱而將氣體分配至該第一列的處理模組。
在其他特徵中,該第一列的處理模組包含五個處理模組,且該第二列的處理模組包含五個處理模組。該第一列及該第二列之各者包含兩個升降器,其係配置以抬升和降低該等處理模組中之至少三者之相應的頂板。該兩個升降器之各者係配置以抬升和降低該五個處理模組中之中間的處理模組之相應的頂板。該等處理模組之各者包含一滑動和樞軸組件,其係配置以轉動該處理模組的偏壓組件遠離該處理腔室。
一種基板處理系統包含一處理模組,其界定一處理腔室。該處理模組係配置以在設置於該處理腔室內之基板上進行至少一處理。一氣體箱係配置以將至少一氣體及/或氣體混合物選擇性地供應至該處理模組的該處理腔室中。該氣體箱係設置在該處理模組上方。一射頻(RF)產生器係配置以產生RF功率俾在該處理腔室內產生電漿。該RF產生器係設置在該處理模組上方。
在其他特徵中,該氣體箱及該RF產生器係並排地設置在該處理模組上方。該氣體箱係相對於該基板處理系統之線性軸而設置在該RF產生器的內側。該RF產生器係相對於該基板處理系統之線性軸而設置在該氣體箱的內側。該氣體箱係設置於該RF產生器上方。該RF產生器係設置於該氣體箱上方。該處理模組具有由該處理模組之外周所界定的垂直柱覆蓋區,且該氣體箱及該RF產生器之外周不超出該處理模組的該垂直柱覆蓋區。
在其他特徵中,該基板處理系統更包含呈線性配置的複數的該處理模組。複數的該處理模組包含第一列的處理模組及第二列的處理模組。該第一列的處理模組係配置以進行第一處理,且該第二列的處理模組係配置以進行第二處理。該第一處理為介電質蝕刻處理,且該第二處理為導體蝕刻處理。該第一列的處理模組係配置以獨立於進行該第二處理之該第二列的處理模組而進行該第一處理。
在其他特徵中,該基板處理系統更包含一配電組件,其係配置以將功率供應至該第一列的處理模組及該第二列的處理模組。該配電組件係配置以獨立於供應功率至該第二列的處理模組之操作而將功率供應至該第一列的處理模組。該第一列的處理模組係設置在該基板處理系統之第一側,該第二列的處理模組係設置在該基板處理系統之與該第一側相對之第二側,且該配電組件係設置在該真空傳送模組之第三側且介於該第一側與該第二側之間。
在其他特徵中,該基板處理系統更包含一上鎖/掛牌(LOTO)組件,其係設置在該基板處理系統的該第三側。該基板處理系統更包含一設備前端模組(EFEM),其係設置在該基板處理系統之與該第三側相對的第四側。該基板處理系統更包含配置以將氣體分配至該第一列的處理模組之第一設施氣體介面箱、以及配置以將氣體分配至該第二列的處理模組之第二設施氣體介面箱。該第一設施氣體介面箱係配置以獨立於將氣體分配至該第二列的處理模組之該第二設施氣體介面箱而將氣體分配至該第一列的處理模組。
在其他特徵中,該第一列的處理模組包含五個處理模組,且該第二列的處理模組包含五個處理模組。該第一列及該第二列之各者包含兩個升降器,其係配置以抬升和降低該等處理模組中之至少三者之相應的頂板。該兩個升降器之各者係配置以抬升和降低該五個處理模組中之中間的處理模組之相應的頂板。該處理模組包含一滑動和樞軸組件,其係配置以轉動該處理模組的偏壓組件遠離該處理腔室。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
製造室內的基板處理工具之數量可能受到基板處理工具的尺寸及相應配置所限制。工具密度指涉製造室每單位面積的基板處理工具及/或處理模組的數量。基板處理工具的配置定義工具覆蓋區、間隔、及/或節距,其定義製造室的工具密度。根據本揭示內容之原理的系統及方法提供使基板處理工具密度和可及性最大化的基板處理工具配置。
現參照圖1,顯示例示性基板處理工具100的俯視圖。基板處理工具100包含複數處理模組104。僅舉例而言,處理模組104之各者可配置以在基板上執行一或更多相應的處理。經由大氣對真空(ATV)傳送模組(例如設備前端模組(EFEM)108)之裝載站的通口將待處理的基板裝載至基板處理工具100中,然後將其轉移至處理模組104之一或多者中。例如,傳送機器人112係設置以將基板從裝載站116傳送至氣閘或負載鎖室120,且真空傳送模組128的機器人124係設置以將基板從負載鎖室120傳送至各個處理模組104。
在圖1所示之範例中,基板處理工具100具有圓形配置。因此,處理模組104係環繞VTM 128而按方位角設置。製造室內的基板處理工具100之數量及各自的位置可能受到圖1所示之圓形配置所限制。製造室可包括按平行的列和行或按交錯或偏移的配置方式設置的複數基板處理工具100。此外,每個基板處理工具100的處理模組104之數量和基板處理工具100之元件(包括處理模組104)的可及性(例如,用於維護、修理、更換等)可能受到此配置所限制。
根據本揭示內容之原理,圖2A顯示基板處理工具200之例示性配置的平面圖。處理工具200之各者包含依照線性配置方式設置的裝載站204、設備前端模組(EFEM)208、負載鎖室212、及真空傳送模組(VTM)216。僅舉例而言,裝載站204可對應於前開式晶圓傳送盒(FOUP)。在某些範例中,負載鎖室212可完全或部分地整合在EFEM 208內。在其他範例中,負載鎖室212被設置在EFEM 208的外部並與其相鄰。包含至少部分地位於EFEM 208內之負載鎖室212的例示性線性配置係在以下文獻中描述:2018年3月14日提交的專利合作條約申請案第PCT/US2018/022397號,在此將其所有內容引入以供參照。
工具200包含在與VTM 216相鄰並從其偏移之兩個平行列中呈線性配置的2N個處理模組220,其中N為整數。在圖2A中,N=5,但工具200的其他配置可包含N=1至4或N=5的處理模組220。例如,可將VTM 216的長度延長或縮短以分別容納更多或更少的處理模組220。處理模組220可包含基板處理腔室,其係配置以在基板上進行蝕刻,例如介電質蝕刻(例如,感應耦合式電漿(ICP)蝕刻)、電容式蝕刻(例如,電容耦合式電漿(CCP)蝕刻)、及/或其他基板處理。在某些範例中,處理模組220的每一列可配置以非對稱地運行。換言之,該等列之其中一者中的處理模組220可配置以獨立於另一列中的處理模組220及/或獨立於同一列中的其他處理模組220而運行。
相似地,VTM 216可包含具有各種配置的一或更多機器人224。例如,工具200包含與VTM 216的中心縱軸(亦即,中心線)對齊的M個機器人224,其中M為整數。例如,如圖所示,M=2,但可使用更多或更少的機器人224。在其他範例中,可相對於VTM 216的中心線而將一或更多機器人224偏離中心地設置(亦即,朝向一側或兩側的處理模組220向右和/或向左偏移)。換言之,機器人224的主樞軸可偏離中心。
例如,如圖2B中之基板處理工具200的端視圖所示,維護通道226可被界定在VTM 216下方。機器人224之包含相關電路及機械元件(例如,馬達、電源等)的部分228在與機器人224之樞軸對齊的位置處自機器人224向下延伸至維護通道226中。當機器人224與VTM 216的中心線對齊時,向下延伸的部分228對進出維護通道226造成限制。相對地,在圖2B所示之範例中,使機器人224偏移至VTM 216的一側(亦即,偏離VTM 216的中心線)以有助於進出維護通道226。
雖然顯示為具有一個臂部230,但機器人224之各者可具有包含一個、兩個、或更多個臂部230的配置。在某些範例中,機器人224可在臂部230之各者上包含一個或兩個末端效應器232。
基板處理工具200可包含一或更多儲存緩衝器236。儲存緩衝器236係配置以在複數處理階段之間、處理之前或之後等儲存一或更多基板、及/或儲存處理模組220的邊緣環、蓋件、及其他組件。如圖所示,一或更多剝除模組238可被設置在VTM 216之與裝載站204相反的一端。在其他範例中,儲存緩衝器236、額外的處理模組、後處理模組、及/或其他元件之其中一或多者可被設置在VTM 216之與裝載站204相反的一端。在某些範例中,EFEM 208、負載鎖室212、VTM 216、及處理模組220之其中一或多者可具有垂直堆疊的配置。例如,處理模組220之各者可對應於垂直堆疊配置中的兩個處理模組220(亦即,一個處理模組220被設置在另一個上方/下方),VTM 216可對應於垂直堆疊配置中的兩個VTM 216,負載鎖室212之各者可對應於垂直堆疊配置中的兩個負載鎖室212,並且裝載站204之各者可對應於垂直堆疊配置中的兩個裝載站204。
處理模組220之各者包含相關的內部及外部元件(未圖示),其包括(但不限於)射頻(RF)產生器和電源電路以及氣體輸送系統元件。例如,處理模組220之各者包含RF產生器240及氣體箱244(例如,包括諸如一或更多歧管、閥、流量控制器等的元件)。在根據本揭示內容的基板處理工具200中,RF產生器240及氣體箱244係設置在處理模組220上方。如圖2A所示,RF產生器240及氣體箱244係並排地設置在處理模組220上方。在某些範例中,RF產生器240係設置成比氣體箱244更靠近VTM 216(亦即,相對於維護通道226而在氣體箱244的內側),且氣體箱244係設置在RF產生器240的外側。在其他範例中,氣體箱244係設置成比RF產生器240更靠近VTM 216(亦即,相對於維護通道226而在RF產生器240的內側),且RF產生器240係設置在氣體箱244的外側。在其他範例中,RF產生器240及氣體箱244可依堆疊配置方式(亦即,一個在另一個之上)設置在處理模組220上方。在其他範例中,RF產生器240及氣體箱244中之一者可被設置在處理模組220上方,而RF產生器240及氣體箱244中之另一者係設置在處理模組220下方。
在以上配置的某些範例中,RF產生器240及氣體箱244之各者可被設置在處理模組220的覆蓋區(例如,垂直柱覆蓋區)內。換言之,在該等範例中,RF產生器240及氣體箱244的外周不超出處理模組220的外周。在其他範例中,RF產生器240及氣體箱244中之一者或兩者可延伸超出處理模組220的覆蓋區。
例如,工具200包含與VTM 216的中心縱軸(亦即,中心線)對齊的M個機器人224,其中M為整數。例如,如圖所示,M=2,但可使用更多或更少的機器人224。在其他範例中,可相對於VTM 216的中心線而將機器人224中之一或多者偏離中心地設置(亦即,朝向一側或兩側的處理模組220向右和/或向左偏移)。
圖3A顯示基板處理工具300的側視圖,且圖3B顯示基板處理工具300的等角視圖,其包括按兩個平行列(例如,平行、共面的列)排列的處理模組304及在單一製造實驗室中並排設置(在圖3B中)的兩個基板處理工具300(一個以實線表示,另一個以虛線表示)之部分。在圖3A及3B的視圖中,僅顯示一個(例如,前)列的處理模組304,而後列係不可見的。
處理模組304包括第一處理模組304-1、第二處理模組304-2、第三處理模組304-3、第四處理模組304-4、及第五處理模組304-5,其統稱為處理模組304。處理模組304之各者包含各自的下部(例如,包圍處理容積)308、頂板312、用於頂板312的蓋件316、及設置在頂板312上的連接組件320。例如,組件320包含流體和電連接。頂板312及組件320可統稱為頂板組件。RF產生器324及氣體箱326被設置在處理模組304之相應者上方,並且將RF功率及處理氣體供應至處理模組304。
處理模組304之各者單獨或其組合可被稱為基板處理系統。處理模組304之各者可用於利用例如射頻(RF)電漿以蝕刻基板。各個處理模組304包含處理腔室,例如感應耦合式電漿(ICP)腔室或電容耦合式電漿(CCP)腔室。該等工作站可例如進行導體蝕刻、介電質蝕刻、及/或其他基板處理。在某些範例中,其中一列的處理模組304可配置以進行導體蝕刻,而另一列的處理模組304可配置以進行介電質蝕刻。在其他範例中,每一列中的處理模組304可配置以接收不同的供應電壓並根據其而運行。例如,其中一列中的處理模組304可配置以接收相對較低的電壓(例如,200-300伏特),而另一列中的處理模組304可配置以接收相對較高的電壓(例如,375-600伏特)。
基板處理工具300的每一列包含複數升降器328(在圖5中更詳細地顯示),其包括例如第一升降器328-1及第二升降器328-2。升降器328之各者沿著相應的軌道332而垂直和橫向地移動。第一升降器328-1係配置以抬升和降低處理模組304-1、304-2、及304-3的頂板組件及/或其他元件。相對地,第二升降器328-2係配置以抬升和降低處理模組304-3、304-4、及304-5的頂板組件及/或其他元件。換言之,升降器328之各者係設置為與該列的相應端部之成對的處理模組304相互作用,且同時升降器328中之兩者皆係設置為與中間的處理模組304-3相互作用。藉此方式,升降器328係配置以使得在基板處理工具300的兩列中使用相應之升降器328的多個(例如,多達四個)操作員能夠同時抬升和降低相應的頂板組件。
預定被裝載進入基板處理工具300(或從其傳送出)的基板係儲存在裝載站336中。基板係經由EFEM及負載鎖組件340而被裝載至基板處理工具300中,然後經由VTM 348之相應的裝載埠344而被傳送至處理模組304中。配電及上鎖/掛牌(LOTO)組件352將電力分配至基板處理工具300的各種元件並提供LOTO功能。
如圖3B所示,複數基板處理工具300中之相鄰者之間的空間有限。例如,複數基板處理工具300之間的通道的寬度W可為1030 mm。此提供了最小量的空間以開啟處理模組304的處理腔室並取得對處理模組304及相應處理腔室之內部的可及性。
通常,用於處理模組304的配電及/或LOTO組件係與處理模組304中之相應者相鄰地設置。換言之,配電及LOTO組件係設置在基板處理工具300之面向相鄰基板處理工具300之間的通道的側面。在此配置方式中,在相鄰的基板處理工具300之間的通道中需要更大的餘隙空間以容納LOTO組件及相關協定。如圖3A和3B所示,根據本揭示內容之配電及LOTO組件352係位於基板處理工具300的後端(亦即,基板處理工具300之與裝載站336相對的一端)。
圖3C及3D分別顯示設置在處理模組304上方之RF產生器324及氣體箱326的俯視圖及等角視圖。在該等範例中,RF產生器324及氣體箱326之各者係設置在處理模組304的覆蓋區(例如,垂直柱覆蓋區)內。換言之,RF產生器324及氣體箱326的外周不超出處理模組304的外周。在其他範例中,RF產生器324及氣體箱326中之一者或兩者可延伸超出處理模組304的覆蓋區。例如,氣體箱326可部分地延伸超出處理模組304的覆蓋區,或者可完全位於處理模組304的內側(亦即,相對於基板處理工具300的外周)。
如圖所示,RF產生器324及氣體箱326係並排地設置在處理模組304上方,其中氣體箱326係設置在RF產生器324的內側(亦即,相對於基板處理工具300的外周)。在其他範例中,可將RF產生器324設置在氣體箱326的內側。
通常,近用PM 304以進行維修和維護可能係困難的。例如,可能難以到達PM 304上面和上方以近用PM 304的元件(例如,頂板312、蓋件316、連接組件320 等)及/或設置在PM 304上方及/或後方的基板處理工具300之其他元件。根據本揭示內容之配置將RF產生器324及氣體箱326設置在PM 304上方允許PM 304被設置在基板處理工具300的底部附近(例如,在直接設置於製造室之地板上或附近的PM構架上)。因此,將RF產生器324及氣體箱326設置於PM 304上方有助於維修和維護途徑。
雖然被顯示為在處理模組304上方呈並排配置,但在其他範例中,RF產生器324及氣體箱326可在處理模組304上方或下方依堆疊配置方式(亦即,一個在另一個之上)設置。在其他範例中,RF產生器324及氣體箱326中之一者可被設置在處理模組304上方,而RF產生器324及氣體箱326中之另一者係設置在處理模組304下方。
在某些範例中,PM 304之各者可包含如圖3E及3F所示的滑動和樞軸組件360。滑動和樞軸組件360係連接至處理腔室364及處理模組偏壓組件368。滑動和樞軸組件360允許處理模組偏壓組件368被拉出並遠離處理腔室364並且相對於處理腔室364的正面而樞轉至一預定角度(例如,115º)。圖3E顯示處於完全對接狀態的滑動和樞軸組件360,而圖3F顯示處於完全脫離狀態的滑動和樞軸組件360。
處理模組偏壓組件368包含用於將RF及/或偏壓功率供應至基板支座之電極及/或將功率供應至基板支座之加熱器元件的電路,並且可包含用於供應冷卻劑以冷卻基板支座的冷卻劑通道。滑動和樞軸組件360係附接於處理腔室364的壁部,且係配置以支撐處理模組偏壓組件368的重量。滑動和樞軸組件360係配置以提供可重複的關閉和完全對接狀態。藉此方式,滑動和樞轉組件360允許處理模組偏壓組件368被拉出並樞轉離開處理腔室364,並且允許在複數基板處理工具300之間的通道中進行維修或維護。
根據本揭示內容,圖4A及4B為例示性基板處理工具400的等角後視圖。在該等視圖中,顯示在基板處理工具400之相應側的兩列處理模組404。配電及LOTO組件408(包括用於一列處理模組404的配電及LOTO組件408-1、及用於另一列處理模組404的配電及LOTO組件408-2)係設置在基板處理工具400的後端。其他元件(包括但不限於一或更多剝除模組412、用於剝除模組412的電源模組416等)可被設置在基板處理工具400的後端。
在某些範例中,基板處理工具400的氣體分佈可為非對稱的。例如,氣體可被獨立地供應至處理模組404的各個列。通常,提供設施氣體介面箱420以將氣體分配至處理模組404的每一列。例如,設施氣體介面箱420可被設置在基板處理工具400之後端上與其中一列處理模組404相對應的一側。根據本揭示內容之基板處理工具400可包含第二設施氣體介面箱424,其係設置在與另一列處理模組404相對應的一側。因此,設施氣體介面箱420及424之各者係配置以將不同組的氣體分配至相應列的處理模組404。
根據本揭示內容,圖5繪示用於基板處理工具之例示性構架組件500的組裝圖及例示性構架組件500的分解圖。構架組件500包含配置以支撐基板處理工具之VTM、處理模組、RF產生器、氣體箱等的各種結構元件。例如,VTM構架504係配置以支撐VTM(例如,VTM 348)及上部構架組件508。上部構架組件508及VTM構架504的上表面512可界定通道516。在某些範例中, 通道516可提供用於維修基板處理工具之元件的近用空間。
PM構架520係設置在VTM構架504下方。上部構架組件508支撐氣體箱構架524及RF支撐構架528,RF支撐構架528係進一步被支撐在RF支撐構架柱532上。RF支撐構架528支撐升降器536,升降器536係配置以抬升和降低設置在PM構架520中的PM之頂板組件,如上所述。
以上敘述在本質上僅為說明性的,而非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛指示可以各種形式實行。因此,雖本揭露內容包含特定例子,但由於當研究圖式、說明書、及以下申請專利範圍時,其他變化將更顯清楚,故本揭露內容之真實範疇不應如此受限。吾人應理解,在不改變本揭露內容之原理的情況下,可以不同次序(或同時)執行方法中之一或更多步驟。再者,雖實施例之各者係於以上描述為具有某些特徵,但關於本揭露內容之任何實施例所述之任一或更多該等特徵可在任何其他實施例中實行,及/或與任何其他實施例之特徵組合(即使並未詳細敘述該組合)。換句話說,所述之實施例並非互相排斥,且一或更多實施例彼此之間的置換維持於本揭露內容之範疇內。
元件 (例如,在模組、電路元件、半導體層等) 之間的空間及功能上之關係係使用各種用語所敘述,該等用語包含「連接」、「接合」、「耦合」、「鄰近」、「在…旁邊」、「在…之上」、「上面」、「下面」、以及「設置」。除非明確敘述為「直接」之情形下,否則當於上述揭露內容中描述第一與第二元件之間的關係時,該關係可係在第一與第二元件之間不存在其它中介元件之直接關係,但亦可係在第一與第二元件之間存在一或更多中介元件(空間上或功能上)的間接關係。如本文所使用的,詞組「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯OR之邏輯(A OR B OR C),且不應解釋為意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,控制器為系統的一部分,該系統可為上述例子的一部分。此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理用平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板之處理之前、期間、以及之後,控制其運作。電子設備可被稱為「控制器」,其可控制(複數)系統的各種元件或子零件。取決於處理需求及/或系統類型,可將控制器程式設計成控制本文所揭露之任何處理,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統連接或接合之工具及其他轉移工具、及/或負載鎖)。
廣泛來說,可將控制器定義為具有接收指令、發佈指令、控制運作、啟動清洗操作、啟動終點量測等之許多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定處理(在半導體晶圓上,或是對半導體晶圓)定義操作參數。在一些實施例中,操作參數可係由製程工程師所定義之配方的一部分,俾在一或更多以下者(包含:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或基板的晶粒)的製造期間實現一或更多處理步驟。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、或以網路連接至系統、或以其組合之方式連接至系統。例如,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部或部分中。電腦可使系統能夠遠端存取,以監控製造運作的當前進度、檢查過去製造運作的歷史、由複數之製造運作而檢查趨勢或效能指標,以改變當前處理的參數、設定當前處理之後的處理步驟、或開始新的製程。在一些例子中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使用者介面,其可達成參數及/或設定的接取、或對參數及/或設定進行程式化,接著將該參數及/或該設定由遠端電腦傳達至系統。在一些例子中,控制器以資料的形式接收指令,該指令為將於一或更多操作期間執行之每個處理步驟指定參數。吾人應理解,參數可特定地針對將執行之製程的類型及將控制器設定以接合或控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式連接彼此且朝向共同目的(例如,本文所敘述的製程及控制)而運作的一或更多分離的控制器。用於此目的之分散式控制器的範例將係在腔室上、與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者結合以控制腔室上的製程。
範例系統可包含但不限於以下各者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可在半導體晶圓的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如上所述,取決於將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之一或更多的以下各者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰近之工具、相鄰之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
100:基板處理工具 104:處理模組 108:設備前端模組(EFEM) 112:傳送機器人 116:裝載站 120:負載鎖室 124:機器人 128:真空傳送模組 200:基板處理工具 204:裝載站 208:設備前端模組(EFEM) 212:負載鎖室 216:真空傳送模組(VTM) 220:處理模組 224:機器人 226:維護通道 228:部分 230:臂部 232:末端效應器 236:儲存緩衝器 238:剝除模組 240:RF產生器 244:氣體箱 300:基板處理工具 304-1:第一處理模組 304-2:第二處理模組 304-3:第三處理模組 304-4:第四處理模組 304-5:第五處理模組 304:處理模組 308:下部 312:頂板 316:蓋件 320:連接組件 324:RF產生器 326:氣體箱 328-1:第一升降器 328-2:第二升降器 328:升降器 332:軌道 336:裝載站 340:EFEM及負載鎖組件 344:裝載埠 352:配電及上鎖/掛牌(LOTO)組件 360:樞軸組件 364:處理腔室 368:處理模組偏壓組件 400:基板處理工具 404:處理模組 408-1:配電及LOTO組件 408-2:配電及LOTO組件 408:配電及LOTO組件 412:剝除模組 416:電源模組 420:設施氣體介面箱 424:第二設施氣體介面箱 500:構架組件 504:VTM構架 508:上部構架組件 512:上表面 516:通道 520:構架 524:氣體箱構架 528:RF支撐構架 532:RF支撐構架柱 536:升降器
本揭露內容從實施方式及隨附圖式可更完全了解,其中:
圖1為一例示性基板處理工具;
根據本揭示內容,圖2A為一例示性基板處理工具之平面圖;
根據本揭示內容,圖2B為圖2A之基板處理工具的例示端視圖;
根據本揭示內容,圖3A為一例示性基板處理工具之側視圖;
根據本揭示內容,圖3B為兩個例示性基板處理工具之部分的透視圖;
根據本揭示內容,圖3C及3D顯示設置在處理模組之覆蓋區內的例示性射頻產生器及氣體箱;
根據本揭示內容,圖3E顯示包含處於完全對接狀態之滑動和樞軸組件的處理模組;
根據本揭示內容,圖3F顯示處於完全脫離(或完全旋轉)狀態的圖3E之滑動和樞軸組件;
根據本揭示內容,圖4A及4B為例示性基板處理工具的等角後視圖;以及
根據本揭示內容,圖5為例示性基板處理工具構架組件的分解圖。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200:基板處理工具
204:裝載站
208:設備前端模組(EFEM)
212:負載鎖室
216:真空傳送模組(VTM)
220:處理模組
224:機器人
230:臂部
232:末端效應器
236:儲存緩衝器
238:剝除模組
240:RF產生器
244:氣體箱

Claims (41)

  1. 一種基板處理系統,包含: 一真空傳送模組;以及 複數處理模組,其界定相應的處理腔室,其中該複數處理模組包含設置在該真空傳送模組之第一側的第一列的處理模組、以及設置在該真空傳送模組之與該第一側相對之第二側的第二列的處理模組, 其中該複數處理模組之各者包含: 一氣體箱,其係配置以將至少一氣體及/或氣體混合物選擇性地供應至該處理模組的該處理腔室中,其中該氣體箱係設置在該處理模組上方,以及 一射頻(RF)產生器,其係配置以產生RF功率俾在該處理腔室內產生電漿,其中該RF產生器係設置在該處理模組上方,其中該氣體箱及該RF產生器係並排地設置在該處理模組上方。
  2. 如請求項1之基板處理系統,其中該基板處理系統具有一線性軸,該第一及第二列的處理模組係平行於該線性軸且設置於該線性軸的相對兩側,且該氣體箱係相對於該基板處理系統之該線性軸而設置在該RF產生器的內側。
  3. 如請求項1之基板處理系統,其中該RF產生器係相對於該基板處理系統之線性軸而設置在該氣體箱的內側。
  4. 如請求項1之基板處理系統,其中該處理模組具有由該處理模組之外周所界定的垂直柱覆蓋區,且其中該氣體箱及該RF產生器之外周不超出該處理模組的該垂直柱覆蓋區。
  5. 如請求項1之基板處理系統,其中該第一列的處理模組係配置以進行第一處理,且該第二列的處理模組係配置以進行第二處理。
  6. 如請求項5之基板處理系統,其中該第一處理為介電質蝕刻處理,且該第二處理為導體蝕刻處理。
  7. 如請求項5之基板處理系統,其中該第一列的處理模組係配置以獨立於進行該第二處理之該第二列的處理模組而進行該第一處理。
  8. 如請求項1之基板處理系統,更包含一配電組件,其係配置以將功率供應至該第一列的處理模組及該第二列的處理模組。
  9. 如請求項8之基板處理系統,其中該配電組件係配置以獨立於供應功率至該第二列的處理模組之操作而將功率供應至該第一列的處理模組。
  10. 如請求項8之基板處理系統,其中該配電組件係設置在該真空傳送模組之第三側且介於該第一側與該第二側之間。
  11. 如請求項10之基板處理系統,更包含一上鎖/掛牌(LOTO)組件,其係設置在該真空傳送模組的該第三側。
  12. 如請求項11之基板處理系統,更包含一設備前端模組(EFEM),其係設置在該真空傳送模組之與該第三側相對的第四側。
  13. 如請求項1之基板處理系統,更包含配置以將氣體分配至該第一列的處理模組之第一設施氣體介面箱、以及配置以將氣體分配至該第二列的處理模組之第二設施氣體介面箱。
  14. 如請求項13之基板處理系統,其中該第一設施氣體介面箱係配置以獨立於將氣體分配至該第二列的處理模組之該第二設施氣體介面箱而將氣體分配至該第一列的處理模組。
  15. 如請求項1之基板處理系統,其中該第一列的處理模組包含五個處理模組,且該第二列的處理模組包含五個處理模組。
  16. 如請求項15之基板處理系統,其中該第一列及該第二列之各者包含兩個升降器,其係配置以抬升和降低該等處理模組中之至少三者之相應的頂板。
  17. 如請求項16之基板處理系統,其中該兩個升降器之各者係配置以抬升和降低該五個處理模組中之中間的處理模組之相應的頂板。
  18. 如請求項1之基板處理系統,其中該等處理模組之各者包含一滑動和樞軸組件,其係配置以轉動該處理模組的偏壓組件遠離該處理腔室。
  19. 一種基板處理系統,包含: 一處理模組,其界定一處理腔室,其中該處理模組係配置以在設置於該處理腔室內之基板上進行至少一處理; 一氣體箱,其係配置以將至少一氣體及/或氣體混合物選擇性地供應至該處理模組的該處理腔室中,其中該氣體箱係設置在該處理模組上方;以及 一射頻(RF)產生器,其係配置以產生RF功率俾在該處理腔室內產生電漿,其中該RF產生器係設置在該處理模組上方。
  20. 如請求項19之基板處理系統,其中該氣體箱及該RF產生器係並排地設置在該處理模組上方。
  21. 如請求項20之基板處理系統,其中該氣體箱係相對於該基板處理系統之線性軸而設置在該RF產生器的內側。
  22. 如請求項20之基板處理系統,其中該RF產生器係相對於該基板處理系統之線性軸而設置在該氣體箱的內側。
  23. 如請求項19之基板處理系統,其中該氣體箱係設置於該RF產生器上方。
  24. 如請求項19之基板處理系統,其中該RF產生器係設置於該氣體箱上方。
  25. 如請求項19之基板處理系統,其中該處理模組具有由該處理模組之外周所界定的垂直柱覆蓋區,且其中該氣體箱及該RF產生器之外周不超出該處理模組的該垂直柱覆蓋區。
  26. 如請求項19之基板處理系統,更包含呈線性配置的複數的該處理模組。
  27. 如請求項26之基板處理系統,其中該複數的處理模組包含第一列的處理模組及第二列的處理模組。
  28. 如請求項27之基板處理系統,其中該第一列的處理模組係配置以進行第一處理,且該第二列的處理模組係配置以進行第二處理。
  29. 如請求項28之基板處理系統,其中該第一處理為介電質蝕刻處理,且該第二處理為導體蝕刻處理。
  30. 如請求項28之基板處理系統,其中該第一列的處理模組係配置以獨立於進行該第二處理之該第二列的處理模組而進行該第一處理。
  31. 如請求項27之基板處理系統,更包含一配電組件,其係配置以將功率供應至該第一列的處理模組及該第二列的處理模組。
  32. 如請求項31之基板處理系統,其中該配電組件係配置以獨立於供應功率至該第二列的處理模組之操作而將功率供應至該第一列的處理模組。
  33. 如請求項31之基板處理系統,其中該第一列的處理模組係設置在該基板處理系統之第一側,該第二列的處理模組係設置在該基板處理系統之與該第一側相對之第二側,且該配電組件係設置在該基板處理系統之第三側且介於該第一側與該第二側之間。
  34. 如請求項33之基板處理系統,更包含一上鎖/掛牌(LOTO)組件,其係設置在該基板處理系統的該第三側。
  35. 如請求項34之基板處理系統,更包含一設備前端模組(EFEM),其係設置在該基板處理系統之與該第三側相對的第四側。
  36. 如請求項27之基板處理系統,更包含配置以將氣體分配至該第一列的處理模組之第一設施氣體介面箱、以及配置以將氣體分配至該第二列的處理模組之第二設施氣體介面箱。
  37. 如請求項36之基板處理系統,其中該第一設施氣體介面箱係配置以獨立於將氣體分配至該第二列的處理模組之該第二設施氣體介面箱而將氣體分配至該第一列的處理模組。
  38. 如請求項27之基板處理系統,其中該第一列的處理模組包含五個處理模組,且該第二列的處理模組包含五個處理模組。
  39. 如請求項38之基板處理系統,其中該第一列及該第二列之各者包含兩個升降器,其係配置以抬升和降低該等處理模組中之至少三者之相應的頂板。
  40. 如請求項39之基板處理系統,其中該兩個升降器之各者係配置以抬升和降低該五個處理模組中之中間的處理模組之相應的頂板。
  41. 如請求項19之基板處理系統,其中該處理模組包含一滑動和樞軸組件,其係配置以轉動該處理模組的偏壓組件遠離該處理腔室。
TW110107288A 2020-03-02 2021-03-02 基板處理工具的線性配置 TW202201463A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062983829P 2020-03-02 2020-03-02
US62/983,829 2020-03-02

Publications (1)

Publication Number Publication Date
TW202201463A true TW202201463A (zh) 2022-01-01

Family

ID=77613791

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107288A TW202201463A (zh) 2020-03-02 2021-03-02 基板處理工具的線性配置

Country Status (6)

Country Link
US (1) US20230085987A1 (zh)
JP (1) JP2023516065A (zh)
KR (1) KR20220148892A (zh)
CN (1) CN115280480A (zh)
TW (1) TW202201463A (zh)
WO (1) WO2021178266A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9159592B2 (en) * 2005-06-18 2015-10-13 Futrfab, Inc. Method and apparatus for an automated tool handling system for a multilevel cleanspace fabricator
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
CN109496348B (zh) * 2016-09-12 2022-01-18 应用材料公司 半导体工艺设备

Also Published As

Publication number Publication date
JP2023516065A (ja) 2023-04-17
KR20220148892A (ko) 2022-11-07
WO2021178266A1 (en) 2021-09-10
US20230085987A1 (en) 2023-03-23
CN115280480A (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
TWI685909B (zh) 用以移動晶圓之設備前端模組及方法
US10262887B2 (en) Pin lifter assembly with small gap
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
US10559483B2 (en) Platform architecture to improve system productivity
US10483141B2 (en) Semiconductor process equipment
WO2020198267A1 (en) Showerhead shroud
US20220403506A1 (en) Automated cleaning of robot arms of substrate processing systems
TW202201463A (zh) 基板處理工具的線性配置