KR20160047994A - 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법 - Google Patents

버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법 Download PDF

Info

Publication number
KR20160047994A
KR20160047994A KR1020150145946A KR20150145946A KR20160047994A KR 20160047994 A KR20160047994 A KR 20160047994A KR 1020150145946 A KR1020150145946 A KR 1020150145946A KR 20150145946 A KR20150145946 A KR 20150145946A KR 20160047994 A KR20160047994 A KR 20160047994A
Authority
KR
South Korea
Prior art keywords
transfer module
vacuum transfer
semiconductor substrate
buffer chamber
buffer
Prior art date
Application number
KR1020150145946A
Other languages
English (en)
Other versions
KR102534391B1 (ko
Inventor
키이스 프리만 우드
매튜 조나단 로드닉
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160047994A publication Critical patent/KR20160047994A/ko
Application granted granted Critical
Publication of KR102534391B1 publication Critical patent/KR102534391B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 기판 프로세싱 시스템에서 반도체 기판들의 열 제어를 위한 버퍼 스테이션은 기판들로 하여금 시스템의 진공 이송 모듈들 사이에서 이송되게 하도록 시스템의 제 1 진공 이송 모듈 및 제 2 진공 이송 모듈들과 인터페이싱하도록 구성된다. 버퍼 스테이션은, 기판들로 하여금 제 1 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 구성된 제 1 진공 이송 모듈 인터페이스 및 기판들로 하여금 제 2 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 구성된 제 2 진공 이송 모듈 인터페이스를 포함한다. 제 1 진공 이송 모듈 인터페이스와 제 2 진공 이송 모듈 인터페이스 사이의 적어도 하나의 버퍼 챔버는 하부 페데스탈을 포함하고, 하부 페데스탈은 지지부 표면 상에 기판을 수용하도록 구성된다. 하나 이상의 반도체 기판 저장 선반들이 하부 페데스탈 위에 있다. 선반 각각은 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈로부터의 기판을 수용하고 각각의 기판을 선반 상에 저장하도록 구성된다.

Description

버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법{BUFFER STATION FOR THERMAL CONTROL OF SEMICONDUCTOR SUBSTRATES TRANSFERRED THERETHROUGH AND METHOD OF TRANSFERRING SEMICONDUCTOR SUBSTRATES}
본 개시는 버퍼 스테이션들에 관한 것이고, 보다 구체적으로, 모듈형 반도체 기판 프로세싱 시스템의 진공 이송 모듈들 사이에서 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼스테이션에 관한 것이다.
반도체 기판 프로세싱 시스템들에서의 반도체 기판들 (즉 웨이퍼들) 의 프로세싱 동안 수 백개의 프로세싱 동작들을 수행하기 위해 상이한 타입들의 툴들이 사용된다. 대부분의 이들 동작들은 반도체 기판 프로세싱 시스템의 진공 챔버들 (즉 프로세스 챔버들) 내에서 매우 낮은 압력으로 수행된다. 다른 동작들은 필터링된 공기 또는 불활성 가스의 제어된 환경들의 대기 압력에서 수행된다. 반도체 기판들은 각각의 프로세스 챔버들에 기계적으로 커플링된 반도체 기판 핸들링 시스템들을 사용하여 프로세스 챔버들에 도입된다. 반도체 기판 핸들링 시스템들은 공장 작업장으로부터 프로세스 챔버로 기판들을 이송한다.
반도체 기판 핸들링 시스템들은 예를 들어, 프로세싱을 위해 기판들을 FOUP (front opening unified pod) 로부터 반도체 기판 프로세싱 시스템으로 그리고 다시 FOUP로 이송하도록 동작가능한 EFEM들 (equipment front end modules), 반도체 기판들을 대기 조건들로부터 매우 낮은 압력 조건들 (예를 들어, 진공 조건들) 로 그리고 다시 대기 조건들로 이끄는 로드록들, 및 반도체 기판 로드록들 및 진공 이송 모듈들과 동작 관계에 있는 반도체 기판 프로세싱 모듈들로/로부터 반도체 기판들을 이송하도록 동작가능한 진공 이송 모듈들을 포함할 수 있다. 반도체 기판 핸들링 시스템들은 반도체 기판 프로세싱 시스템 내의 다양한 위치들로 기판들을 이송하기 위한 로봇들을 포함할 수 있다. 쓰루풋 (즉, 시간 기간 내에 프로세싱된 기판들의 수) 은 기판 프로세싱 시간, 주어진 시간에 프로세싱된 기판들의 수, 뿐만 아니라 기판들을 진공 챔버들 내로 도입하기 위한 단계들의 타이밍에 영향을 받는다. 따라서, 쓰루풋을 증가시키는 개선된 방법들 및 장치들이 필요하다.
모듈형 반도체 기판 프로세싱 시스템에서 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션이 본 명세서에 개시된다. 버퍼 스테이션은 반도체 기판들로 하여금 모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이에서 이송되게 하도록 모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈 및 제 2 진공 이송 모듈과 인터페이싱하도록 구성된다. 버퍼 스테이션은 하나 이상의 반도체 기판들로 하여금 진공 압력에서 제 1 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 구성된 제 1 진공 이송 모듈 인터페이스를 포함한다. 제 2 진공 이송 모듈 인터페이스는 하나 이상의 반도체 기판들로 하여금 진공 압력에서 제 2 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 구성된다. 제 1 진공 이송 모듈 인터페이스와 제 2 진공 이송 모듈 인터페이스 사이의 적어도 하나의 버퍼 챔버는, 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈로부터의 반도체 기판을 자신의 지지 표면 상에 수용하도록 구성된 하부 페데스탈을 포함한다. 하부 페데스탈은 상부에 수용된 반도체 기판 상에서 가열 또는 냉각 동작을 수행하도록 동작가능하다. 하나 이상의 반도체 기판 저장 선반들은, 각각 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈로부터 반도체 기판을 수용하도록 동작가능한 하부 페데스탈 위에 있고, 반도체 기판 저장 선반 각각은 상부에 각각의 반도체 기판을 저장하도록 동작가능하다.
버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션을 포함하는 모듈형 반도체 기판 프로세싱 시스템이 또한 본 명세서에 개시된다. 모듈형 반도체 기판 프로세싱 시스템은 제 1 진공 이송 모듈, 제 2 진공 이송 모듈, 및 반도체 기판들로 하여금 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이에서 이송되게 하도록 제 1 진공 이송 모듈 및 제 2 진공 이송 모듈과 인터페이싱하는 버퍼 스테이션을 포함한다. 버퍼 스테이션은 하나 이상의 반도체 기판들로 하여금 진공 압력에서 제 1 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 동작가능한 제 1 진공 이송 모듈 인터페이스, 및 하나 이상의 반도체 기판들로 하여금 진공 압력에서 제 2 진공 이송 모듈과 버퍼 스테이션 사이에서 이송되게 하도록 동작가능한 제 2 진공 이송 모듈 인터페이스를 포함한다. 제 1 진공 이송 모듈 인터페이스와 제 2 진공 이송 모듈 인터페이스 사이의 적어도 하나의 버퍼 챔버는 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈로부터의 반도체 기판을 자신의 지지 표면 상에 수용하도록 동작가능한 하부 페데스탈을 포함한다. 하부 페데스탈은 상부에 수용된 반도체 기판 상에서 가열 또는 냉각 동작을 수행하도록 동작가능하다. 하나 이상의 반도체 기판 저장 선반들은, 각각 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈로부터 반도체 기판을 수용하도록 동작가능한 하부 페데스탈 위에 있고, 반도체 기판 저장 선반 각각은 상부에 각각의 반도체 기판을 저장하도록 동작가능하다.
모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이의 버퍼 스테이션을 통해 반도체 기판들을 이송하는 방법이 부가적으로 본 명세서에 개시된다. 방법은 제 1 진공 이송 모듈로부터 제 1 진공 이송 모듈 인터페이스를 통해 버퍼 스테이션의 제 1 버퍼 챔버 내로 반도체 기판을 이송하는 단계를 포함한다. 반도체 기판은 제 1 버퍼 챔버 내에 배치된 하부 페데스탈의 지지 표면 상에 지지되고, 가열 또는 냉각 동작은 하부 페데스탈의 지지 표면 상에 지지된 반도체 기판 상에서 수행된다. 반도체 기판은 제 1 버퍼 챔버의 하부 페데스탈로부터 버퍼 스테이션의 제 2 진공 이송 모듈 인터페이스를 통해 제 2 진공 이송 모듈로 이송된다.
도 1a 및 도 1b는 본 명세서에 개시된 실시예들에 따른 버퍼 스테이션의 단면들을 예시한다.
도 2는 본 명세서에 개시된 실시예들에 따른 버퍼 스테이션을 포함하는 모듈형 반도체 기판 프로세싱 시스템의 블록도를 예시한다.
도 3은 본 명세서에 개시된 실시예들에 따른 버퍼 스테이션을 포함하는 모듈형 반도체 기판 프로세싱 시스템의 블록도를 예시한다.
도 4a 내지 도 4g는 본 명세서에 개시된 실시예들에 따른 모듈형 반도체 기판 프로세싱 시스템의 구성들을 예시한다.
다음의 상세한 개시에서, 예시적인 실시예들이 본 명세서에서 개시된 장치 및 방법들의 이해를 제공하기 위해서 제시된다. 그러나, 이러한 예시적인 실시예들은 이러한 특정 세부사항들 없이도 또는 다른 요소들 또는 프로세스들을 사용하여서 실시될 수 있음이 본 기술 분야의 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스들, 절차들 및/또는 컴포넌트들은 본 명세서에서 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
도 1a 및 도 1b는 각각 본 명세서에 개시된 실시예들에 따른, 진공 이송 모듈들과 같은 반도체 기판 핸들링 시스템들 사이에서 버퍼 스테이션 (100) 을 통과하는 반도체 기판들의 열 제어를 위한 버퍼 스테이션 (100) 의 단면도를 예시한다. 버퍼 스테이션 (100) 은 하나 이상의 반도체 기판들로 하여금 버퍼 스테이션 (100) 을 통해 이송되게 하도록 동작가능한 제 1 진공 이송 모듈 인터페이스 (110) 및 하나 이상의 반도체 기판들로 하여금 버퍼 스테이션 (100) 을 통해 이송되게 하도록 동작가능한 제 2 진공 이송 모듈 인터페이스 (120) 를 포함한다. 버퍼 스테이션은 제 1 진공 이송 모듈 인터페이스 (110) 와 제 2 진공 이송 모듈 인터페이스 (120) 사이에 버퍼 챔버 (130) 와 같은 적어도 하나의 버퍼 챔버를 포함한다. 버퍼 챔버 (130) 는 자신의 지지 표면 (141) 상에 반도체 기판을 수용하도록 동작가능한 하부 페데스탈 (140) 을 포함한다. 하부 페데스탈 (140) 은 자신의 지지 표면 (141) 상에 지지된 반도체 기판을 가열하거나 냉각하도록 동작가능하다. 지지 표면 (141) 은 버퍼 챔버 (130) 의 하부 표면을 규정하고, 리드 (170) 의 하부 표면은 버퍼 챔버 (130) 의 상부 표면을 규정할 수 있다.
버퍼 챔버 (130) 는 하부 페데스탈 (140) 위에 하나 이상의반도체 기판 저장 선반들 (150) 을 포함할 수 있고, 반도체 기판 저장 선반 (150) 각각은 그 위에 각각의 반도체 기판을 저장하도록 동작가능하다. 예를 들어, 도 1a에 예시된 바와 같이, 버퍼 챔버 (130) 는 3 개의 반도체 기판 저장 선반들 (150) 을 포함한다. 대안적인 실시예들에서, 버퍼 챔버 (130) 는 2, 4, 5, 또는 그 이상의 반도체 기판 저장 선반들 (150) 을 포함할 수 있다. 바람직하게, 도 1b에 예시된 바와 같이, 버퍼 챔버 (130) 는 하부 페데스탈 (140) 과 하나 이상의 반도체 기판 저장 선반들 (150) 사이에 열 차폐부 (160) 를 포함한다. 열 차폐부 (160) 는 열적으로 절연 재료로부터 형성될 수 있고, 하부 페데스탈 (140) 상에 지지된 반도체 기판과 하나 이상의 반도체 기판 저장 선반들 (150) 각각 상에 지지된 하나 이상의 기판들 사이의 열 전달을 저감시키도록 동작가능하다.
도 2를 참조하면, 버퍼 스테이션 (100) 은 버퍼 스테이션 (100) 을 통해 반도체 기판들을 이송하도록 동작가능한 둘 이상의 반도체 기판 핸들링 시스템들과 동작 관계에 있는 것이 바람직하다. 예를 들어, 제 1 진공 이송 모듈 및 제 2 진공 이송 모듈 (200, 300) 은 각각 버퍼 스테이션 (100) 의 하부 페데스탈 (140) 상에 반도체 기판을 위치시키고 하부 페데스탈 (140) 로부터 반도체 기판을 제거하도록 동작가능한 각각의 로봇을 포함할 수도 있다. 각각의 로봇들은 또한 버퍼 스테이션 (100) 의 저장 선반 (150) 상에 반도체 기판을 위치시키고 저장 선반 (150) 으로부터 반도체 기판을 제거하도록 동작가능할 수도 있다. 일 실시예에서, 제 1 진공 이송 모듈 (200) 의 로봇은 하부 페데스탈 (140) 상에 반도체 기판 및 각각의 저장 선반들 (150) 상에 하나 이상의 반도체 기판들을 위치시키도록 동작가능하다. 하부 페데스탈 (140) 상의 반도체 기판이 가열 또는 냉각 동작 (또는 인시츄 계측 프로세스 또는 웨이퍼 세정 프로세스와 같은 다른 프로세스 동작) 을 겪은 후, 제 2 진공 이송 모듈 (300) 의 로봇은 하부 페데스탈 (140) 로부터 반도체 기판을 제거하고 자신과 동작 관계에 있는 프로세싱 모듈 (400) 로 반도체 기판을 이송할 수오 있고, 이어서 제 2 진공 이송 모듈 (300) 의 로봇, 또는 대안적으로 제 1 진공 이송 모듈 (200) 의 로봇은 저장 선반들 (150) 중 각각의 하나로부터 나중에 가열 또는 냉각 동작이 반도체 기판에 대해 수행될 수도 있는 하부 페데스탈 (140) 상으로 반도체 기판을 이송할 수도 있다.
다른 실시예에서, 반도체 기판은 제 1 진공 이송 모듈 (200) 의 로봇에 의해 저장 선반 (150) 상에 위치되고 프로세싱을 위해 하부 페데스탈 (140) 상에 위치되지 않고 제 2 진공 이송 모듈 (300) 의 로봇에 의해 저장 선반 (150) 으로부터 제거될 수도 있고, 그 반대도 가능하다. 다른 실시예에서, 프로세싱 동안 부서질 수도 있는 반도체 기판들은 반도체 기판 프로세싱 시스템 (10) 내에서 프로세싱될 반도체 기판들의 배치의 쓰루풋을 간섭하지 않도록 반도체 기판 저장 선반 (150) 상에 위치되고 저장될 수도 있다. 따라서, 본 명세서에 개시된 버퍼 스테이션 (100) 의 실시예들은, 하나 이상의 반도체 기판들이 버퍼 스테이션 내에 저장되게 하고, 버퍼 스테이션 (100) 을 통해 이송될 반도체 기판들에 대한 보다 빠른 액세스를 허용하고, 그리고 부서진 기판들로 하여금 부서진 반도체 기판을 제거하기 위해 시간을 허비하거나 남아 있는 반도체 기판들의 프로세싱을 방해하지 않고 제거되게 함으로써, 이송 동안 반도체 기판에 대한 프로세스 동작을 수행함으로써 반도체 기판 프로세싱 시스템 (10) 을 통한 반도체 기판 쓰루풋을 증가시킬 수 있다.
다시 도 1a를 참조하면, 버퍼 스테이션 (100) 의 하부 페데스탈 (140) 은 그 위에 지지된 반도체 기판의 하측으로 열 전달 가스를 전달할 수 있는 지지 표면 (141) 내의 적어도 하나의 유출부 (143) 및 적어도 하나의 가스 통로 (142) 로 목표된 압력으로 열 전달 가스를 공급하도록 동작가능한 열 전달 가스의 소스 (미도시) 에 연결된 하부 페데스탈 (140) 을 통해 연장하는 적어도 하나의 가스 통로 (142) 를 포함할 수 있다. 일 실시예에서, 하부 페데스탈 (140) 은 단극성 또는 쌍극성 정전 클램핑 (ESC) 전극 (144) 을 포함할 수 있다. ESC 전극은 정전 클램핑 전압이 단극성 또는 쌍극성 ESC 전극 (144) 에 인가될 때 지지 표면 (141) 상의 반도체 기판에 정전 클램핑력을 인가하도록 동작가능하다. 하부 페데스탈 (140) 은 또한 하부 페데스탈 (140) 의 지지 표면 (141) 상으로 반도체 기판을 하강시키고 하부 페데스탈 (140) 의 지지 표면 (141) 으로부터 반도체 기판을 상승시키도록 동작가능한 리프트 핀들 (146) 을 포함할 수 있다. ESC 전극 및 반도체 기판을 하강 및 상승시키도록 동작가능한 리프트 핀들을 포함하는 페데스탈의 예시적인 실시예는 공동으로 양도된 미국 특허 제 6,567,258 호에서 찾을 수 있고, 전체가 본 명세서에 참조로서 인용된다.
하부 페데스탈 (140) 은 지지 표면 (141) 및 따라서 하부 페데스탈 (140) 의 지지 표면 (141) 상에 지지된 반도체 기판의 공간적 온도 및 시간적 온도를 독립적으로 제어 및 튜닝하도록 동작가능한 독립적으로 제어가능한 히터 존들을 포함하는 히터층 (145) 을 더 포함한다. 하부 페데스탈 (140) 은 또한 하부 페데스탈 (140) 및 따라서 하부 페데스탈 (140) 의 지지 표면 상에 지지된 반도체 기판의 온도를 저감시키도록 동작가능한 유체-냉각된 히트 싱크와 같은 냉각층 (미도시) 을 포함할 수 있다. 히터층 (145) 및 냉각층을 포함하는 페데스탈의 예시적인 실시예는 공동으로 양도된 미국 특허 제 8,680,441 호에서 찾을 수 있고, 전체가 본 명세서에 참조로서 인용된다.
버퍼 스테이션 (100) 은 반도체 기판들로 하여금 버퍼 스테이션 (100) 사이에서 이송되게 하도록 모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈 및 제 2 진공 이송 모듈과 인터페이싱하도록 구성된다. 바람직하게, 버퍼 스테이션 (100) 은 제 1 진공 이송 모듈 인터페이스 (110) 에서 버퍼 챔버 (130) 의 환경을 격리하도록 구성된 제 1 슬릿 밸브 (190), 및/또는 제 2 진공 이송 모듈 인터페이스 (120) 에서 버퍼 챔버 (130) 의 환경을 격리하도록 구성된 제 2 슬릿 밸브 (미도시) 를 포함한다. 제 1 슬릿 밸브 (190) 및 선택적인 제 2 슬릿 밸브는 상승되어 버퍼 챔버 (130) 의 환경을 격리할 수 있다. 다른 실시예에서, 버퍼 스테이션 (100) 이 제 1 슬릿 밸브 또는 제 2 슬릿 밸브를 포함할 필요는 없다. 일 실시예에서, 버퍼 스테이션 (100) 은 제 1 진공 이송 모듈 인터페이스 (110) 및 제 2 진공 이송 모듈 인터페이스 (120) 로 하여금 각각 인터페이싱된 반도체 기판 핸들링 시스템들의 각각의 포트들과 정렬하게 하도록 정렬 핀들 (180) 을 포함할 수 있다. 예를 들어, 버퍼 스테이션 (100) 은 제 1 진공 이송 모듈 인터페이스 (110) 의 제 1 포트 (110) 를 제 1 진공 이송 모듈의 각각의 포트 (미도시) 와 정렬하도록 동작가능한 정렬 핀들 (180), 및 제 2 진공 이송 모듈 인터페이스 (120) 의 제 1 포트 (120a) 를 제 2 진공 이송 모듈의 각각의 포트 (미도시) 와 정렬하도록 동작가능한 정렬 핀들 (180) 을 포함한다.
예를 들어, 도 2는 본 명세서에 개시된 실시예들에 따른 반도체 기판 핸들링 시스템들 및 버퍼 스테이션 (100) 을 포함하는 모듈형 반도체 기판 프로세싱 시스템 (10) 의 블록도를 예시한다. 도 2에 도시된 바와 같이, 모듈형 반도체 기판 프로세싱 시스템 (10) 은 상부 로드록 (60) 및 하부 로드록 (65) 을 통해 제 1 진공 이송 모듈 (200) 과 동작 관계에 있는 EFEM (50) 을 포함한다. EFEM (50) 은 필터링된 공기 환경 (예를 들어, 주변 필터링된 공기) 또는 질소와 같은 불활성 가스의 환경과 같은 제어된 환경을 내부에 포함하고, 제어된 환경은 대기 압력 또는 진공 압력과 같이 대기 압력보다 보다 높거나 보다 낮은 압력일 수 있다.
EFEM (50) 은 하나 이상의 기판 로드 포트들 (미도시) 을 포함하고, 기판 로드 포트 각각은, EFEM (50) 의 하나 이상의 로봇들이 FOUP (front opening unified pod) 로부터 반도체 기판들을 언로딩 및 로딩할 수 있도록 예를 들어, FOUP를 각각의 기판 로드 포트로 이송하도록 동작가능한 오버헤드 기판 이송 시스템 (미도시) 으로부터 FOUP를 수용하도록 구성된다. FOUP는 반도체 기판들 (예를 들어, 일반적으로 실리콘 (Si) 웨이퍼들이지만 게르마늄 (Ge), 또는 갈륨-비소 (GaAs) 또는 인듐 비소 (InAs) 와 같은 반도체 재료들의 화합물과 같은 원소적 반도체 재료들로부터 형성된 다양한 다른 기판 타입들을 포함할 수도 있음) 을 홀딩하도록 설계된 플라스틱 인클로저 타입이다. FOUP는 기판들 (미도시) 을 안전하고 단단히 FOUP의 제어된 환경에 홀딩한다. 일 실시예에서, EFEM (50) 의 제어된 환경은 진공 압력일 수 있고, 기판 로드 포트에 부착된 FOUP는 유사하게 진공 압력 하에 있다.
제 1 진공 이송 모듈 (200) 은, 각각이 제 1 진공 이송 모듈 (200) 내부에서 반도체 기판에 대한 하나 이상의 프로세스 동작들을 수행하도록 동작가능한 하나 이상의 프로세싱 모듈들 (미도시) 과 동작 관계에 있을 수 있다. 상부 로드록 및 하부 로드록 (60, 65) 을 통해 하나 이상의 FOUP들 및 하나 이상의 프로세싱 모듈들 및 반도체 기판들을 이송하도록 동작가능한 하나 이상의 로보틱 시스템들 (즉 로봇들) 을 갖는 진공 이송 모듈 (200) 과 동작 관계에 있는, 클러스터 프로세싱 툴이라고 할 수 있는, EFEM (50) 의 예시적인 실시예는 공동으로 양도된 미국 특허 제 8,430,620 호, 제 8,282,698 호, 및 제 8,562,272 호에서 찾을 수 있고, 전체가 본 명세서에 참조로서 인용되었다.
상부 로드록 및 하부 로드록 (60, 65) 내의 압력은 상부 로드록 밸브 (60a) 을 통해 상부 로드록 (60) 과 유체로 연통하고 하부 로드록 밸브 (65a) 를 통해 하부 로드록 (65) 과 유체로 연통하는 로드록 진공 펌프 (66) 에 의해 제어될 수 있고, 상부 로드록 및 하부 로드록 (60, 65) 은 바람직하게 서로 유체적으로 격리된다. 이러한 방식으로, 상부 로드록 (60) 은 EFEM (50) 으로부터 진공 이송 모듈 (200) 로 (예를 들어 프로세싱되지 않은) 기판들을 인바운드 이송하도록 전용될 수 있고 한편, 하부 로드록 (65) 은 제 1 진공 이송 모듈 (200) 로부터 EFEM (50) 으로 (예를 들어 프로세싱된) 기판들을 아웃바운드 이송하도록 전용될 수 있다. 바람직하게, 제 1 진공 이송 모듈 (200) 내의 압력은 진공 환경이 제 1 진공 이송 모듈 (200) 내에서 유지될 수 있도록 제 1 진공 이송 모듈 밸브 (200a) 를 통해 제 1 진공 이송 모듈 (200) 과 유체로 연통하는 제 1 진공 펌프 (205) 에 의해 제어될 수 있다. 제 1 진공 이송 모듈 (200) 은 또한 제 1 진공 이송 모듈 (200) 의 내부로 불활성 가스를 공급하도록 동작가능한 제 1 진공 이송 질량 유량 제어기 (210) 와 유체로 연통하는 것이 바람직하다.
제 1 진공 이송 모듈 (200) 은 버퍼 스테이션 (100) 을 통해 제 2 진공 이송 모듈 (300) 과 동작 관계에 있을 수 있다. 일 실시예에서, 버퍼 스테이션 (100) 은 또한 제 2 진공 이송 모듈 (300) 을 지지하는 프레임 (500) 에 의해 지지될 수 있다. 대안적인 실시예들에서, 버퍼 스테이션 (100) 은 제 1 진공 이송 모듈 (200) 을 지지하는 제 1 진공 이송 모듈 프레임 (600) 에 의해 지지될 수도 있고, 또는 대안적으로 버퍼 스테이션 (100) 은 제 1 진공 이송 모듈 (200) 과 제 2 진공 이송 모듈 (300) 사이에 매달릴 수 있다.
버퍼 스테이션 (100) 은 반도체 기판들로 하여금 제 1 진공 이송 모듈 (200) 과 제 2 진공 이송 모듈 (300) 사이에서 이송되게 하도록 제 1 진공 이송 모듈 (200) 및 제 2 진공 이송 모듈 (300) 과 인터페이싱한다. 제 2 진공 이송 모듈 (300) 내의 압력은 진공 환경이 제 2 진공 이송 모듈 (300) 내에서 유지될 수 있도록 제 2 진공 이송 모듈 밸브 (300a) 를 통해 제 2 진공 이송 모듈 (300) 과 유체로 연통하는 제 2 진공 펌프 (305) 에 의해 제어될 수 있다. 제 2 진공 이송 모듈 (300) 은 선택적으로 제 2 진공 이송 모듈 (300) 의 내부로 불활성 가스를 공급하도록 동작가능한 제 2 진공 이송 질량 유량 제어기 (미도시) 와 유체로 연통할 수 있다. 제 2 진공 이송 모듈 (300) 은, 각각이 그 내부의 반도체 기판에 대해 하나 이상의 프로세스 동작들을 수행하도록 동작가능한 하나 이상의 프로세싱 모듈들 (400) 과 동작 관계에 있을 수 있다.
버퍼 스테이션 (100) 은 바람직하게 불활성 가스 공급부와 유체로 연통한다. 예를 들어, 불활성 가스 공급부는 질소와 같은 불활성 가스를 버퍼 스테이션 (100) 의 버퍼 챔버 (130) 로 공급하도록 동작가능한 버퍼 스테이션 질량 유량 제어기 (111) 에 의해 전달될 수 있다. 불활성 가스는 버퍼 스테이션 (100) 의 하부 페데스탈 (140) 과 하부 페데스탈 (140) 상에 지지된 반도체 기판 (도 1a 및 도 1b 참조) 사이의 열 전달을 촉진할 수 있다. 버퍼 스테이션 (100) 은 바람직하게 버퍼 스테이션 (100) 의 버퍼 챔버 (130) 내의 압력을 제어하도록 동작가능한 진공 펌프와 유체로 연통한다. 예를 들어, 도 2에 예시된 바와 같이, 버퍼 스테이션 (100) 은 제 2 진공 이송 모듈 (300) 과 제 2 진공 펌프 (305) 를 공유할 수 있다. 다른 실시예에서, 밸브 (250) 는 버퍼 스테이션 (100) 의 제 1 슬릿 밸브 (190) 가 제 1 진공 이송 모듈 인터페이스 (110) (도 1a 및 도 1b 참조) 에서 폐쇄된 위치에 있을 때에도 제 1 진공 이송 모듈 (200) 을 사용하여 버퍼 스테이션 (100) 의 진공 환경을 (즉 버퍼 챔버 (130)) 유체로 연결 및 격리할 수 있다. 일 실시예에서, 버퍼 스테이션 (100) 은 제 1 진공 펌프 및 제 2 진공 펌프 (205, 305) 로부터 분리된 진공 펌프로 유체로 연결될 수 있다.
바람직하게 제 1 진공 이송 모듈 (200) 은 제 1 진공 이송 모듈 (200) 의 진공 환경으로부터 버퍼 챔버 (130) 의 환경을 격리하도록 동작가능한 제 1 슬릿 밸브 (190) 을 통해 버퍼 스테이션 (100) 과 인터페이스한다. 다른 실시예에서, 버퍼 스테이션 (100) 은 제 2 진공 이송 모듈 (300) 의 진공 환경으로부터 버퍼 챔버 (130) 의 환경을 격리하도록 동작가능한 제 2 슬릿 밸브를 통해 제 2 진공 이송 모듈 (300) 과 인터페이스할 수 있다. 예를 들어, 도 3은 본 명세서에 개시된 실시예들에 따른 버퍼 스테이션 (100) 을 포함하는 모듈형 반도체 기판 프로세싱 시스템 (10) 의 블록도를 예시하고, 제 2 슬릿 밸브 (195) 는 제 2 진공 이송 모듈 (300) 의 진공 환경으로부터 버퍼 챔버 (130) 의 환경을 격리하도록 동작가능하다.
일 실시예에서, 도 3에 예시된 바와 같이, 버퍼 스테이션 (100) 은 제 2 버퍼 챔버 (130b) 위에 배치된 제 1 버퍼 챔버 (130a) 를 포함할 수 있다. 제 1 버퍼 챔버 (130a) 는 바람직하게 반도체 기판들로 하여금 제 1 진공 이송 모듈 (200) 로부터 제 2 진공 이송 모듈 (300) 로 이송되게 하도록 동작가능하고 제 2 버퍼 챔버 (130b) 는 반도체 기판들로 하여금 제 2 진공 이송 모듈 (300) 로부터 제 1 진공 이송 모듈 (200) 로 또는 반대로 이송되게 하도록 동작가능하다. 일 실시예에서, 제 1 진공 이송 모듈 및/또는 제 2 진공 이송 모듈 (200, 300) 내에 포함된 각각의 로봇들은, 각각의 로봇이 반도체 기판을 제 1 버퍼 챔버 (130a) 내의 하부 페데스탈의 지지 표면과 제 2 버퍼 챔버 (130b) 내의 하부 페데스탈의 지지 표면 양자로 이송할 수 있도록, 반도체 기판을 수직 방향으로 아래 및 위로 연장하게 할 수 없을 수도 있다. 이 실시예에서, 구동기 (199) 는 반도체 기판들로 하여금 제 1 버퍼 챔버 (130a) 를 통해 이송되게 하도록 (하강된 위치에 있을 때) 제 1 버퍼 챔버 및 제 2 버퍼 챔버 (130a, 130b) 를 상승 및 하강시키도록 동작가능하고 반도체 기판들로 하여금 제 2 버퍼 챔버 (130b) 를 통해 이송되게 하도록 제 1 버퍼 챔버 및 제 2 버퍼 챔버 (130a, 130b) 를 상승시키도록 동작가능하다. 일 실시예에서, 제 2 버퍼 챔버 (130b) 의 상부 표면은 제 1 버퍼 챔버 (130a) 의 하부 페데스탈의 하부 표면에 의해 규정될 수 있다.
다시 도 1a 및 도 1b를 참조하면, 일 실시예에서, 버퍼 스테이션 (100) 의 리드 (170) 는 버퍼 스테이션 (100) 이 서비스될 수도 있도록 버퍼 스테이션 (100) 의 버퍼 챔버 (130) 로의 액세스를 허용하도록 제거가능할 수 있다. 바람직하게, O-링 홈부들 (188) 상에 배치된 O-링들은 리드 (170) 의 하부 표면과 버퍼 스테이션 (100) 의 상부 표면 사이에 시일들 (예를 들어 진공 시일들) 을 형성한다. 부가적으로, 하부 페데스탈 (140) 은 하부 페데스탈 (140) 로 하여금 보수되거나 대체되게 하도록 제거가능할 수도 있다. 예를 들어, 반도체 기판 프로세싱 동작이 400 ℃보다 높은 온도들과 같은 고온들에서, 반도체 기판이 프로세싱되는 것을 필요로 한다면, 400 ℃보다 높은 온도들로 반도체 기판들을 사전-가열할 능력을 갖는 하부 페데스탈은 이러한 능력을 갖지 않는 하부 페데스탈을 대체할 수도 있어서, 반도체 기판이 제 1 진공 이송 모듈 또는 제 2 진공 이송 모듈에 의해 각각의 프로세싱 모듈로 이송되기 전에 이러한 온도들에 도달하게 하여, 프로세스 동작이 고온에서 수행된다. 프로세싱을 위해 반도체 기판이 각각의 프로세싱 모듈로 이송되기 전에 반도체 기판의 사전-가열은 프로세싱 모듈 내에서 적절한 프로세싱 온도로 반도체 기판을 가열하기 위해 소비된 시간이 감소됨에 따라 프로세싱될 반도체 기판들의 쓰루풋을 상승시킨다. 부가적으로, O-링 홈부들 (189) 내에 배치된 O-링은 하부 페데스탈 (140) 의 상부 표면과 버퍼 스테이션 (100) 의 하부 표면 사이에 시일들 (예를 들어 진공 시일들) 을 형성할 수도 있다.
본 명세서에 개시된 바와 같은 버퍼 스테이션들 (100) 의 실시예들은 모듈형 반도체 기판 프로세싱 시스템들 (10) 을 형성하도록 사용될 수 있다. 본 명세서에 사용된 바와 같이, 모듈형 반도체 기판 프로세싱 시스템들 (10) 은 반도체 기판 프로세싱 시스템들의 하나 이상의 기판들에 대해 수행될 반도체 기판 프로세싱 동작들에 따라 다양한 구성들로 배열 및/또는 재배열될 수 있는 개별 모듈들을 갖는 반도체 기판 프로세싱 시스템들이다. 예를 들어, 모듈형 반도체 기판 프로세싱 시스템 (10) 은 각각의 진공 이송 모듈들 (450) 사이에서 인터페이싱하는 하나 이상의 버퍼 스테이션들 (100) 로 구성된 2 이상의 진공 이송 모듈들 (450) 또는 3 이상의 진공 이송 모듈들 (450) 을 포함할 수 있다.
예를 들어, 도 4a 내지 도 4g는 반도체 기판들을 모듈형 반도체 기판 프로세싱 시스템 (10) 에 공급하기 위해 하나 이상의 FOUP들 (35) 에 부착된 EFEM (50) 을 각각 포함하는, 모듈형 반도체 기판 프로세싱 시스템들 (10) 에 대한 가능한 구성들을 예시한다. 모듈형 반도체 기판 프로세싱 시스템들 (10) 은 반도체 기판들의 압력 환경을 변경하기 위한 로드록들 (460), 및 각각의 프로세싱 모듈들 (400) 과 동작 관계에 있는 2 이상의 진공 이송 모듈들 (450) 을 포함할 수 있고, 진공 이송 모듈들 (450) 은 각각 반도체 기판들을 각각의 프로세싱 모듈들 (400) 로 이송하도록 동작가능하다. 모듈형 반도체 기판 프로세싱 시스템들 (10) 은 각각의 진공 이송 모듈들 (450) 사이에서 인터페이싱하는 하나 이상의 버퍼 스테이션들 (100) 을 포함할 수 있고, 버퍼 스테이션들 (100) 은 반도체 기판이 각각의 FOUP (35) 에 저장되고 모듈형 반도체 기판 프로세싱 시스템 (10) 으로부터 제거될 수 있도록 기판이 진공 이송 모듈 (450) 에 의해 각각의 프로세싱 모듈 (400) 로 또는 다시 EFEM (50) 으로 이송되기 전에 반도체 기판을 사전-가열하거나 냉각하도록 동작가능하다. 모듈형 반도체 기판 프로세싱 시스템들 (10) 은 또한 프로세싱 동작들 동안 반도체 기판 세정 동작 또는 반도체 기판 상에서의 인-시츄 광학적 임계 치수 계측 동작을 수행하도록 동작가능한 프로세싱 모듈들 (425) 을 포함할 수 있다. 도 4g는 본 명세서에 개시된 실시예들에 따른 모듈형 반도체 기판 프로세싱 시스템 (10) 을 통한 반도체 기판의 경로 (550) 를 예시한다.
프로세싱 모듈들 (400) 은, 플라즈마 에칭, ALE (atomic layer etching), PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 레지스트 제거, 인-시츄 계측, 건식 세정, 습식 반도체 기판 세정, 이온 빔 에칭 (IBE), 및 습식-에칭 (예를 들어, 화학적 에칭) 과 같은 동작들을 포함하는, 반도체 기판 에칭, 재료 증착, 및 반도체 기판 세정 동작들과 같은 반도체 기판들에 대한 프로세싱 동작들을 수행할 수 있다.
본 명세서에 개시된 모듈형 반도체 기판 프로세싱 시스템들 (10) 및 관련된 반도체 기판 핸들링 시스템들은 반도체 웨이퍼 또는 반도체 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 예를 들어, 도 4g에 예시된 바와 같이, 모듈형 반도체 기판 프로세싱 시스템 (10) 및/또는 EFEM (50) 은 연관된 제어기 (156) 를 포함한다. 제어기 (156) 는, 모듈형 반도체 기판 프로세싱 시스템 (10) 의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 반도체 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (156) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 반도체 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (156) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨 (즉 모듈형 반도체 기판 프로세싱 시스템 (10)) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 모듈형 반도체 기판 프로세싱 시스템들 (10) 은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 장치들 또는 시스템들을 포함하는, 프로세싱 챔버들을 포함할 수도 있다.
상기에 주지된 바와 같이, 모듈형 반도체 기판 프로세싱 시스템 (10) 에 의해 수행될 프로세스 단계 또는 단계들에 따라, 제어기 (156) 는 하나 이상의 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃한 툴들, 공장 전체에 위치된 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 기판들의 컨테이너들 (예를 들어 FOUP들) 을 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로/로부터 이송하는 재료 이송에 사용된 툴들가 통신할 수도 있다. 바람직하게, 비일시적인 컴퓨터 머신-판독가능 매체는 모듈형 반도체 기판 프로세싱 시스템 (10) 의 제어를 위한 프로그램 인스트럭션들을 포함한다.
모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이에서 버퍼 스테이션을 통해 반도체 기판들을 이송하는 방법은 제 1 진공 이송 모듈로부터 제 1 진공 이송 모듈 인터페이스를 통해 버퍼 스테이션의 제 1 버퍼 챔버로의 반도체 기판 이송을 포함할 수 있다. 반도체 기판은 제 1 버퍼 챔버 내에 배치된 하부 페데스탈의 지지 표면 상에 지지될 수 있고, 가열 또는 냉각 동작은 하부 페데스탈의 지지 표면 상에 지지된 반도체 기판 상에서 수행된다. 이어서, 반도체 기판은 제 1 버퍼 챔버의 하부 페데스탈로부터 버퍼 스테이션의 제 2 진공 이송 모듈 인터페이스를 통해 제 2 진공 이송 모듈로 이송될 수 있고, 진공 이송 모듈은 프로세싱 동작이 기판 상에서 수행될 수 있도록 진공 이송 모듈과 동작 관계에 있는 프로세싱 모듈로 반도체 기판을 이송할 수 있다.
방법은 반도체 기판이 버퍼 챔버의 하부 페데스탈의 지지 표면 상에 지지되기 전에 제 1 버퍼 챔버 내의 반도체 기판 저장 선반 상에 반도체 기판을 저장하는 단계, 하부 페데스탈의 지지 표면과 반도체 기판 사이의 열 전달을 상승시키도록, 가열 또는 냉각 동작 동안 제 1 버퍼 챔버 내의 압력을 상승시키는 단계, 가열 또는 냉각 동작 동안 제 1 버퍼 챔버 내에 불활성 가스 환경을 제공하는 단계, 가열 또는 냉각 동작 동안 제 1 버퍼 챔버 환경을 제 1 진공 이송 모듈 및/또는 제 2 진공 이송 모듈로부터 격리하는 단계, 가열 또는 냉각 동작 동안 지지 표면 상에 지지된 반도체 기판으로 열 전달 가스를 전달하는 단계, 가열 또는 냉각 동작 동안 반도체 기판을 하부 페데스탈의 지지 표면으로 정전기적으로 클램핑하는 단계, 및/또는 후속 프로세싱 동작을 제어하도록, 가열 또는 냉각 동작 동안 독립적으로 제어가능한 히터 존들을 포함하는 히터층으로 하부 페데스탈의 지지 표면의 공간적 온도 및 시간적 온도를 독립적으로 제어 및 튜닝하는 단계를 더 포함할 수 있다.
방법은 또한 제 2 진공 챔버로부터 제 2 진공 이송 모듈 인터페이스를 통해 버퍼 스테이션의 제 1 버퍼 챔버로 반도체 기판을 이송하는 단계를 포함할 수 있고, 반도체 기판은 제 1 버퍼 챔버 내에 배치된 하부 페데스탈의 지지 표면 상에 지지된다. 이어서 하부 페데스탈의 지지 표면 상에 지지된 반도체 기판의 선택적인 가열 또는 냉각 동작이 수행될 수도 있고, 또는 대안적으로, 제 1 버퍼 챔버 내의 반도체 기판 저장 선반 상에 반도체 기판이 저장될 수도 있다. 이어서 반도체 기판은 제 1 버퍼 챔버의 하부 페데스탈 또는 저장 선반으로부터 버퍼 스테이션의 제 1 진공 이송 모듈 인터페이스를 통해 제 1 진공 이송 모듈로 이송될 수도 있다.
다른 실시예에서, 방법은 제 2 진공 챔버로부터 제 2 진공 이송 모듈 인터페이스를 통해 버퍼 스테이션의 제 2 버퍼 챔버로 반도체 기판을 이송하는 단계를 포함할 수 있다. 이어서 반도체 기판은 제 2 버퍼 챔버 내에 배치된 하부 페데스탈의 지지 표면 상에 지지될 수 있고, 하부 페데스탈의 지지 표면 상에 지지된 반도체 기판 상에서 선택적인 가열 또는 냉각 동작이 수행될 수도 있고, 또는 대안적으로, 제 2 버퍼 챔버 내의 반도체 기판 저장 선반 상에 반도체 기판이 저장될 수도 있다. 이어서 반도체 기판은 제 2 버퍼 챔버의 하부 페데스탈 또는 저장 선반으로부터 버퍼 스테이션의 제 1 진공 이송 모듈 인터페이스를 통해 제 1 진공 이송 모듈의 버퍼 스테이션으로 이송될 수도 있다. 이어서 기판은 EFEM을 통해 각각의 FOUP로 이송될 수도 있고 반도체 기판 프로세싱 시스템으로부터 제거될 수도 있다.
또한, 기하학적 형상들과 함께 단어들 "일반적으로", "상대적으로", 및 "실질적으로"가 사용될 때, 기하학적 형상의 정밀도는 요구되지 않지만 형상에 대한 한도는 본 개시의 범위 내에 있도록 의도된다. 기하학적 용어들과 함께 사용될 때, 단어들 "일반적으로", "상대적으로", 및 "실질적으로"는 엄격한 규정들을 만족하는 피처들뿐만 아니라 엄격한 규정들에 상당히 비슷한 피처들도 포관하도록 의도된다.
등온 증착 챔버를 포함하는 플라즈마 프로세싱 장치가 구체적인 실시예들을 참조하여 상세히 기술되었지만, 첨부된 청구항들의 범위로부터 벗어나지 않고 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 자명할 것이다.

Claims (20)

  1. 모듈형 반도체 기판 프로세싱 시스템에서 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 상기 버퍼 스테이션으로서,
    상기 버퍼 스테이션은 상기 반도체 기판들로 하여금 상기 모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이에서 이송되게 하도록 상기 모듈형 반도체 기판 프로세싱 시스템의 상기 제 1 진공 이송 모듈 및 상기 제 2 진공 이송 모듈과 인터페이싱하도록 구성되고,
    상기 버퍼 스테이션은,
    하나 이상의 반도체 기판들로 하여금 진공 압력에서 상기 제 1 진공 이송 모듈과 상기 버퍼 스테이션 사이에서 이송되게 하도록 구성된 제 1 진공 이송 모듈 인터페이스;
    하나 이상의 반도체 기판들로 하여금 진공 압력에서 상기 제 2 진공 이송 모듈과 상기 버퍼 스테이션 사이에서 이송되게 하도록 구성된 제 2 진공 이송 모듈 인터페이스; 및
    상기 제 1 진공 이송 모듈 인터페이스와 상기 제 2 진공 이송 모듈 인터페이스 사이의 적어도 하나의 버퍼 챔버를 포함하고,
    상기 적어도 하나의 버퍼 챔버는,
    상기 제 1 진공 이송 모듈 또는 상기 제 2 진공 이송 모듈로부터의 반도체 기판을 자신의 지지 표면 상에 수용하도록 구성되고 상부에 수용된 상기 반도체 기판 상에서 가열 또는 냉각 동작을 수행하도록 동작가능한 하부 페데스탈, 및
    각각 상기 제 1 진공 이송 모듈 또는 상기 제 2 진공 이송 모듈로부터 반도체 기판을 수용하도록 구성된 상기 하부 페데스탈 위의 하나 이상의 반도체 기판 저장 선반들로서, 상기 반도체 기판 저장 선반 각각은 상부에 각각의 반도체 기판을 저장하도록 동작가능한, 상기 하나 이상의 반도체 기판 저장 선반들을 포함하는, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  2. 제 1 항에 있어서,
    상기 하부 페데스탈은 상기 하부 페데스탈의 상기 지지 표면의 공간적 온도 및 시간적 온도를 독립적으로 제어 및 튜닝하도록 동작가능한 독립적으로 제어가능한 히터 존들을 포함하는 히터층을 포함하고; 및/또는
    상기 하부 페데스탈은 상기 하부 페데스탈의 온도를 저감시키도록 동작가능한 냉각층을 포함하는, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  3. 제 1 항에 있어서,
    상기 적어도 하나의 버퍼 챔버는 상기 하부 페데스탈과 상기 하나 이상의 반도체 기판 저장 선반들 사이에 열 차폐부를 포함하고,
    상기 열 차폐부는 프로세싱 동안 상기 하부 페데스탈 상에 지지된 반도체 기판과 상기 하나 이상의 반도체 기판 저장 선반들 각각 상에 지지된 하나 이상의 기판들 사이의 열 전달을 저감시키도록 동작가능한, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  4. 제 1 항에 있어서,
    (a) 상기 적어도 하나의 버퍼 챔버는 제 2 버퍼 챔버 위에 배치된 제 1 버퍼 챔버를 포함하고, 상기 제 1 버퍼 챔버는 반도체 기판들로 하여금 상기 제 1 진공 이송 모듈로부터 상기 제 2 진공 이송 모듈로 이송되게 하도록 구성되고 그리고 상기 제 2 버퍼 챔버는 반도체 기판들로 하여금 상기 제 2 진공 이송 모듈로부터 상기 제 1 진공 이송 모듈로 이송되게 하도록 구성되거나;
    (b) 상기 적어도 하나의 버퍼 챔버는 제 2 버퍼 챔버 위에 배치된 제 1 버퍼 챔버를 포함하고, 상기 제 1 버퍼 챔버는 반도체 기판들로 하여금 상기 제 1 진공 이송 모듈로부터 상기 제 2 진공 이송 모듈로 이송되게 하도록 구성되고 그리고 상기 제 2 버퍼 챔버는 반도체 기판들로 하여금 상기 제 2 진공 이송 모듈로부터 상기 제 1 진공 이송 모듈로 이송되게 하도록 구성되고, 상기 버퍼 스테이션은 반도체 기판들로 하여금 상기 제 1 버퍼 챔버를 통해 이송되게 하도록 상기 제 1 버퍼 챔버 및 상기 제 2 버퍼 챔버를 하강시키고 그리고 반도체 기판들로 하여금 상기 제 2 버퍼 챔버를 통해 이송되게 하도록 상기 제 1 버퍼 챔버 및 상기 제 2 버퍼 챔버를 상승시키도록 동작가능한 구동기를 포함하는, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  5. 제 1 항에 있어서,
    (a) 상기 버퍼 스테이션은 불활성 가스 공급부와 유체로 연통하도록 구성되고, 상기 불활성 가스 공급부는 상기 하부 페데스탈과 상기 하부 페데스탈 상에 지지된 반도체 기판 사이의 열 전달을 용이하게 하도록 상기 적어도 하나의 버퍼 챔버로 불활성 가스를 공급하도록 동작가능하고;
    (b) 상기 버퍼 스테이션은 진공 펌프와 유체로 연통하도록 구성되고, 상기 진공 펌프는 상기 적어도 하나의 버퍼 챔버 내의 상기 압력을 제어하도록 동작가능하고;
    (c) 상기 제 1 진공 이송 모듈 인터페이스는 상기 제 1 진공 이송 모듈 인터페이스의 제 1 포트를 시일하도록 동작가능한 제 1 슬릿 밸브를 포함하고, 상기 제 1 슬릿 밸브는 상기 제 1 진공 이송 모듈의 진공 환경으로부터 상기 적어도 하나의 버퍼 챔버의 환경을 격리하도록 구성되고;
    (d) 상기 제 2 진공 이송 모듈 인터페이스는 제 2 진공 이송 모듈 인터페이스의 제 1 포트를 시일하도록 동작가능한 제 2 슬릿 밸브를 포함하고, 상기 제 2 슬릿 밸브는 상기 제 2 진공 이송 모듈의 진공 환경으로부터 상기 적어도 하나의 버퍼 챔버의 환경을 격리하도록 구성되고;
    (e) 상기 버퍼 스테이션은 상기 제 1 진공 이송 모듈 인터페이스의 제 1 포트를 상기 제 1 진공 이송 모듈의 각각의 포트와 정렬시키도록 구성된 정렬 핀들을 포함하고; 및/또는
    (f) 상기 버퍼 스테이션은 상기 제 2 진공 이송 모듈 인터페이스의 제 1 포트를 상기 제 2 진공 이송 모듈의 각각의 포트와 정렬시키도록 구성된 정렬 핀들을 포함하는, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  6. 제 1 항에 있어서,
    상기 하부 페데스탈은,
    (a) 상기 지지 표면 상에 지지된 반도체 기판 하측으로 열 전달 가스를 전달하는 상기 지지 표면 내의 적어도 하나의 유출부 및 상기 하부 페데스탈을 통해 연장하는 적어도 하나의 가스 통로로서, 상기 하부 페데스탈은 상기 적어도 하나의 가스 통로로 목표된 압력으로 열 전달 가스를 공급하도록 동작가능한 열 전달 가스의 소스에 연결되는, 상기 적어도 하나의 가스 통로;
    (b) 단극성 또는 쌍극성 (monopolar or bipolar) 정전 클램핑 전극에 정전 클램핑 전압이 인가될 때 상기 지지 표면 상의 반도체 기판에 정전 클램핑력을 인가하도록 동작가능한 상기 단극성 또는 쌍극성 정전 클램핑 전극; 및/또는
    (c) 상기 하부 페데스탈의 상기 지지 표면 상으로 반도체 기판을 하강시키고 그리고 상기 하부 페데스탈의 상기 지지 표면으로부터 상기 반도체 기판을 상승시키도록 동작가능한 리프트 핀들을 포함하는, 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 버퍼 스테이션.
  7. 버퍼 스테이션을 통해 이송될 반도체 기판들의 열 제어를 위한 상기 버퍼 스테이션을 포함하는 모듈형 반도체 기판 프로세싱 시스템으로서,
    상기 모듈형 반도체 기판 프로세싱 시스템은,
    제 1 진공 이송 모듈;
    제 2 진공 이송 모듈; 및
    반도체 기판들로 하여금 상기 제 1 진공 이송 모듈과 상기 제 2 진공 이송 모듈 사이에서 이송되게 하도록 상기 제 1 진공 이송 모듈 및 상기 제 2 진공 이송 모듈과 인터페이싱하는 버퍼 스테이션을 포함하고,
    상기 버퍼 스테이션은,
    하나 이상의 반도체 기판들로 하여금 진공 압력에서 상기 제 1 진공 이송 모듈과 상기 버퍼 스테이션 사이에서 이송되게 하도록 동작가능한 제 1 진공 이송 모듈 인터페이스;
    하나 이상의 반도체 기판들로 하여금 진공 압력에서 상기 제 2 진공 이송 모듈과 상기 버퍼 스테이션 사이에서 이송되게 하도록 동작가능한 제 2 진공 이송 모듈 인터페이스; 및
    상기 제 1 진공 이송 모듈 인터페이스와 상기 제 2 진공 이송 모듈 인터페이스 사이의 적어도 하나의 버퍼 챔버를 포함하고,
    상기 적어도 하나의 버퍼 챔버는,
    상기 제 1 진공 이송 모듈 또는 상기 제 2 진공 이송 모듈로부터의 반도체 기판을 자신의 지지 표면 상에 수용하도록 동작가능하고 상부에 수용된 상기 반도체 기판 상에서 가열 또는 냉각 동작을 수행하도록 동작가능한 하부 페데스탈, 및
    각각 상기 제 1 진공 이송 모듈 또는 상기 제 2 진공 이송 모듈로부터 반도체 기판을 수용하도록 동작가능한 상기 하부 페데스탈 위의 하나 이상의 반도체 기판 저장 선반들로서, 상기 반도체 기판 저장 선반 각각은 상부에 각각의 반도체 기판을 저장하도록 동작가능한, 상기 하나 이상의 반도체 기판 저장 선반들을 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 하부 페데스탈은 상기 하부 페데스탈의 상기 지지 표면의 공간적 온도 및 시간적 온도를 독립적으로 제어 및 튜닝하도록 동작가능한 독립적으로 제어가능한 히터 존들을 포함하는 히터층을 포함하고; 및/또는
    상기 하부 페데스탈은 상기 하부 페데스탈의 온도를 저감시키도록 동작가능한 냉각층을 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  9. 제 7 항에 있어서,
    상기 적어도 하나의 버퍼 챔버는 상기 하부 페데스탈과 상기 하나 이상의 반도체 기판 저장 선반들 사이에 열 차폐부를 포함하고,
    상기 열 차폐부는 프로세싱 동안 상기 하부 페데스탈 상에 지지된 반도체 기판과 상기 하나 이상의 반도체 기판 저장 선반들 각각 상에 지지된 하나 이상의 기판들 사이의 열 전달을 저감시키도록 동작가능한, 모듈형 반도체 기판 프로세싱 시스템.
  10. 제 7 항에 있어서,
    (a) 상기 적어도 하나의 버퍼 챔버는 제 2 버퍼 챔버 위에 배치된 제 1 버퍼 챔버를 포함하고, 상기 제 1 버퍼 챔버는 반도체 기판들로 하여금 상기 제 1 진공 이송 모듈로부터 상기 제 2 진공 이송 모듈로 이송되게 하도록 구성되고 그리고 상기 제 2 버퍼 챔버는 반도체 기판들로 하여금 상기 제 2 진공 이송 모듈로부터 상기 제 1 진공 이송 모듈로 이송되게 하도록 구성되거나;
    (b) 상기 적어도 하나의 버퍼 챔버는 제 2 버퍼 챔버 위에 배치된 제 1 버퍼 챔버를 포함하고, 상기 제 1 버퍼 챔버는 반도체 기판들로 하여금 상기 제 1 진공 이송 모듈로부터 상기 제 2 진공 이송 모듈로 이송되게 하도록 구성되고 그리고 상기 제 2 버퍼 챔버는 반도체 기판들로 하여금 상기 제 2 진공 이송 모듈로부터 상기 제 1 진공 이송 모듈로 이송되게 하도록 구성되고, 상기 버퍼 스테이션은 반도체 기판들로 하여금 상기 제 1 버퍼 챔버를 통해 이송되게 하도록 상기 제 1 버퍼 챔버 및 상기 제 2 버퍼 챔버를 하강시키고 그리고 반도체 기판들로 하여금 상기 제 2 버퍼 챔버를 통해 이송되게 하도록 상기 제 1 버퍼 챔버 및 상기 제 2 버퍼 챔버를 상승시키도록 동작가능한 구동기를 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  11. 제 7 항에 있어서,
    (a) 상기 버퍼 스테이션은 불활성 가스 공급부와 유체로 연통하고, 상기 불활성 가스 공급부는 상기 하부 페데스탈과 상기 하부 페데스탈 상에 지지된 반도체 기판 사이의 열 전달을 용이하게 하도록 상기 적어도 하나의 버퍼 챔버로 불활성 가스를 공급하도록 동작가능하고;
    (b) 상기 버퍼 스테이션은 진공 펌프와 유체로 연통하고, 상기 진공 펌프는 상기 적어도 하나의 버퍼 챔버 내의 상기 압력을 제어하도록 동작가능하고;
    (c) 상기 제 1 진공 이송 모듈 인터페이스는 상기 제 1 진공 이송 모듈 인터페이스의 제 1 포트를 시일하도록 동작가능한 제 1 슬릿 밸브를 포함하고, 상기 제 1 슬릿 밸브는 상기 제 1 진공 이송 모듈의 진공 환경으로부터 상기 적어도 하나의 버퍼 챔버의 환경을 격리하도록 동작가능하고;
    (d) 상기 제 2 진공 이송 모듈 인터페이스는 제 2 진공 이송 모듈 인터페이스의 제 1 포트를 시일하도록 동작가능한 제 2 슬릿 밸브를 포함하고, 상기 제 2 슬릿 밸브는 상기 제 2 진공 이송 모듈의 진공 환경으로부터 상기 적어도 하나의 버퍼 챔버의 환경을 격리하도록 동작가능하고;
    (e) 상기 버퍼 스테이션은 상기 제 1 진공 이송 모듈 인터페이스의 제 1 포트를 상기 제 1 진공 이송 모듈의 각각의 포트와 정렬시키도록 동작가능한 정렬 핀들을 포함하고;
    (f) 상기 버퍼 스테이션은 상기 제 2 진공 이송 모듈 인터페이스의 제 1 포트를 상기 제 2 진공 이송 모듈의 각각의 포트와 정렬시키도록 동작가능한 정렬 핀들을 포함하고;
    (g) 제어기는 상기 시스템에 의해 수행된 프로세스들을 제어하도록 구성되고; 및/또는
    (h) 비일시적인 컴퓨터 머신-판독가능 매체는 상기 시스템의 제어를 위해 프로그램 인스트럭션들을 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  12. 제 7 항에 있어서,
    상기 하부 페데스탈은,
    (a) 상기 지지 표면 상에 지지된 반도체 기판 하측으로 열 전달 가스를 전달하는 상기 지지 표면 내의 적어도 하나의 유출부 및 상기 하부 페데스탈을 통해 연장하는 적어도 하나의 가스 통로로서, 상기 하부 페데스탈은 상기 적어도 하나의 가스 통로로 목표된 압력으로 열 전달 가스를 공급하도록 동작가능한 열 전달 가스의 소스에 연결되는, 상기 적어도 하나의 가스 통로;
    (b) 단극성 또는 쌍극성 정전 클램핑 전극에 정전 클램핑 전압이 인가될 때 상기 지지 표면 상의 반도체 기판에 정전 클램핑력을 인가하도록 동작가능한 상기 단극성 또는 쌍극성 정전 클램핑 전극; 및/또는
    (c) 상기 하부 페데스탈의 상기 지지 표면 상으로 반도체 기판을 하강시키고 그리고 상기 하부 페데스탈의 상기 지지 표면으로부터 상기 반도체 기판을 상승시키도록 동작가능한 리프트 핀들을 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  13. 제 7 항에 있어서,
    (a) 상기 제 1 진공 이송 모듈은 EFEM (equipment front end module) 과 동작 관계에 있고, 상기 EFEM은 FOUP (front opening unified pod) 로부터 대기 압력에서 반도체 기판들을 수용하고 상기 제 1 진공 이송 모듈의 진공 환경으로 상기 반도체 기판들을 이송하도록 동작가능하고;
    (b) 상기 제 1 진공 이송 모듈은 반도체 기판 상에서 하나 이상의 프로세싱 동작들을 수행하도록 동작가능한 하나 이상의 반도체 기판 프로세싱 모듈들과 동작 관계에 있고;
    (c) 상기 제 2 진공 이송 모듈은 반도체 기판 상에서 하나 이상의 프로세싱 동작들을 수행하도록 동작가능한 하나 이상의 반도체 기판 프로세싱 모듈들과 동작 관계에 있고;
    (d) 상기 제 1 진공 이송 모듈은 상기 제 1 진공 이송 모듈 인터페이스를 통해 반도체 기판들을 이송하도록 동작가능한 로봇을 상기 제 1 진공 이송 모듈의 진공 환경에 포함하고; 및/또는
    (e) 상기 제 2 진공 이송 모듈은 상기 제 2 진공 이송 모듈 인터페이스를 통해 반도체 기판들을 이송하도록 동작가능한 로봇을 상기 제 2 진공 이송 모듈의 진공 환경에 포함하는, 모듈형 반도체 기판 프로세싱 시스템.
  14. 제 7 항에 있어서,
    (a) 상기 버퍼 스테이션은 상기 제 1 진공 이송 모듈 또는 상기 제 2 진공 이송 모듈의 프레임에 의해 지지되거나;
    (b) 상기 버퍼 스테이션은 상기 제 1 진공 이송 모듈과 상기 제 2 진공 이송 모듈 사이에 매달리는, 모듈형 반도체 기판 프로세싱 시스템.
  15. 3개 이상의 진공 이송 모듈들을 포함하는 모듈형 반도체 기판 프로세싱 시스템으로서, 진공 이송 모듈 각각은 제 1 항에 기재된 상기 버퍼 스테이션에 의해 적어도 하나의 다른 진공 이송 모듈과 동작 관계에 있는, 모듈형 반도체 기판 프로세싱 시스템.
  16. 제 15 항에 있어서,
    (a) 적어도 하나의 상기 진공 이송 모듈들은 FOUP로부터 대기 압력에서 반도체 기판들을 수용하고 적어도 하나의 상기 진공 이송 모듈들의 진공 환경으로 상기 반도체 기판들을 이송하도록 동작가능한 EFEM과 동작 관계에 있고; 및/또는
    (b) 진공 이송 모듈 각각은 반도체 기판 상에서 하나 이상의 프로세싱 동작들을 수행하도록 동작가능한 하나 이상의 반도체 기판 프로세싱 모듈들과 동작 관계에 있는, 모듈형 반도체 기판 프로세싱 시스템.
  17. 모듈형 반도체 기판 프로세싱 시스템의 제 1 진공 이송 모듈과 제 2 진공 이송 모듈 사이의 버퍼 스테이션을 통해 반도체 기판들을 이송하기 위한 방법으로서,
    상기 방법은,
    제 1 진공 이송 모듈로부터 제 1 진공 이송 모듈 인터페이스를 통해 상기 버퍼 스테이션의 제 1 버퍼 챔버 내로 반도체 기판을 이송하는 단계;
    상기 제 1 버퍼 챔버 내에 배치된 하부 페데스탈의 지지 표면 상에 상기 반도체 기판을 지지하는 단계;
    상기 하부 페데스탈의 상기 지지 표면 상에 지지된 상기 반도체 기판 상에서 가열 또는 냉각 동작을 수행하는 단계; 및
    상기 제 1 버퍼 챔버의 상기 하부 페데스탈로부터 상기 버퍼 스테이션의 제 2 진공 이송 모듈 인터페이스를 통해 제 2 진공 이송 모듈로 상기 반도체 기판을 이송하는 단계를 포함하는, 버퍼 스테이션을 통해 반도체 기판들을 이송하기 위한 방법.
  18. 제 17 항에 있어서,
    (a) 상기 반도체 기판이 상기 버퍼 챔버의 상기 하부 페데스탈의 상기 지지 표면 상에 지지되기 전에, 상기 제 1 버퍼 챔버 내의 반도체 기판 저장 선반 상에 상기 반도체 기판을 저장하는 단계;
    (b) 상기 하부 페데스탈의 상기 지지 표면과 상기 반도체 기판 사이의 열 전달을 증가시키기 위해 상기 가열 또는 냉각 동작 동안 상기 제 1 버퍼 챔버 내의 상기 압력을 상승시키는 단계;
    (c) 상기 가열 또는 냉각 동작 동안 상기 제 1 버퍼 챔버 내에 불활성 가스 환경을 제공하는 단계;
    (d) 상기 가열 또는 냉각 동작 동안 상기 제 1 버퍼 챔버 환경을 상기 제 1 진공 이송 모듈 및/또는 제 2 진공 이송 모듈로부터 격리하는 단계;
    (e) 상기 가열 또는 냉각 동작 동안 상기 지지 표면 상에 지지된 상기 반도체 기판의 하측으로 열 전달 가스를 전달하는 단계;
    (f) 상기 가열 또는 냉각 동작 동안 상기 반도체 기판을 상기 하부 페데스탈의 상기 지지 표면에 정전기적으로 클램핑하는 단계; 및/또는
    (g) 상기 가열 또는 냉각 동작 동안 독립적으로 제어가능한 히터 존들을 포함하는 히터층을 사용하여 상기 하부 페데스탈의 상기 지지 표면의 공간적 온도 및 시간적 온도를 독립적으로 제어 및 튜닝하는 단계를 더 포함하는, 버퍼 스테이션을 통해 반도체 기판들을 이송하기 위한 방법.
  19. 제 17 항에 있어서,
    상기 제 2 진공 챔버로부터 상기 제 2 진공 이송 모듈 인터페이스를 통해 상기 버퍼 스테이션의 상기 제 1 버퍼 챔버로 상기 반도체 기판을 이송하는 단계;
    상기 제 1 버퍼 챔버 내에 배치된 상기 하부 페데스탈의 상기 지지 표면 상에 상기 반도체 기판을 지지하고 상기 하부 페데스탈의 상기 지지 표면 상에 지지된 상기 반도체 기판의 선택적인 가열 또는 냉각 동작을 수행하거나 상기 제 1 버퍼 챔버 내의 반도체 기판 저장 선반 상에 상기 반도체 기판을 저장하는 단계; 및
    상기 제 1 버퍼 챔버의 상기 하부 페데스탈 또는 상기 저장 선반으로부터 상기 버퍼 스테이션의 제 1 진공 이송 모듈 인터페이스를 통해 상기 제 1 진공 이송 모듈로 상기 반도체 기판을 이송하는 단계를 더 포함하는, 버퍼 스테이션을 통해 반도체 기판들을 이송하기 위한 방법.
  20. 제 17 항에 있어서,
    상기 제 2 진공 챔버로부터 상기 제 2 진공 이송 모듈 인터페이스를 통해 상기 버퍼 스테이션의 상기 제 2 버퍼 챔버로 상기 반도체 기판을 이송하는 단계;
    상기 제 2 버퍼 챔버 내에 배치된 상기 하부 페데스탈의 상기 지지 표면 상에 상기 반도체 기판을 지지하고 상기 하부 페데스탈의 상기 지지 표면 상에 지지된 상기 반도체 기판의 선택적인 가열 또는 냉각 동작을 수행하거나 상기 제 2 버퍼 챔버 내의 반도체 기판 저장 선반 상에 상기 반도체 기판을 저장하는 단계; 및
    상기 제 2 버퍼 챔버의 상기 하부 페데스탈 또는 상기 저장 선반으로부터 상기 버퍼 스테이션의 제 1 진공 이송 모듈 인터페이스를 통해 상기 제 1 진공 이송 모듈로 상기 반도체 기판을 이송하는 단계를 더 포함하는, 버퍼 스테이션을 통해 반도체 기판들을 이송하기 위한 방법.
KR1020150145946A 2014-10-23 2015-10-20 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법 KR102534391B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/522,338 US9673071B2 (en) 2014-10-23 2014-10-23 Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US14/522,338 2014-10-23

Publications (2)

Publication Number Publication Date
KR20160047994A true KR20160047994A (ko) 2016-05-03
KR102534391B1 KR102534391B1 (ko) 2023-05-18

Family

ID=55792560

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150145946A KR102534391B1 (ko) 2014-10-23 2015-10-20 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법

Country Status (5)

Country Link
US (1) US9673071B2 (ko)
JP (1) JP2016105462A (ko)
KR (1) KR102534391B1 (ko)
CN (2) CN105551998A (ko)
TW (1) TWI713471B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230053082A (ko) 2021-10-14 2023-04-21 주식회사 저스템 웨이퍼 버퍼용 챔버장치

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US11061417B2 (en) * 2018-12-19 2021-07-13 Applied Materials, Inc. Selectable-rate bottom purge apparatus and methods
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
JP7221403B2 (ja) * 2019-09-05 2023-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2023523663A (ja) 2019-09-06 2023-06-07 アプライド マテリアルズ インコーポレイテッド シャッターディスク
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
US11810805B2 (en) * 2020-07-09 2023-11-07 Applied Materials, Inc. Prevention of contamination of substrates during gas purging
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
CN113611633B (zh) * 2021-07-21 2023-01-17 北京北方华创微电子装备有限公司 晶圆烘烤腔室及其晶圆预清洁方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010020130A (ko) * 1997-04-21 2001-03-15 스탠리 디. 피에코스 가스의 계측제어를 이용한 기판 처리장치
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP3124289U (ja) * 2006-06-02 2006-08-10 株式会社島津製作所 クラスタ型装置
KR20090025270A (ko) * 2006-05-26 2009-03-10 브룩스 오토메이션 인코퍼레이티드 선형으로 분배된 반도체 작업물 처리용 설비
KR20100022060A (ko) * 2007-05-18 2010-02-26 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
FI110007B (fi) * 1990-02-28 2002-11-15 Dsm Nv Menetelmä proteiinien tuottamiseksi
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5609698A (en) 1995-01-23 1997-03-11 General Electric Company Processing of gamma titanium-aluminide alloy using a heat treatment prior to deformation processing
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6543981B1 (en) 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4153781B2 (ja) * 2002-01-31 2008-09-24 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US7309618B2 (en) 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US7153388B2 (en) 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7680559B2 (en) 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7536538B1 (en) 2005-03-31 2009-05-19 Lam Research Corporation Cluster tools for processing substrates using at least a key file
US7604449B1 (en) 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
JP2010524201A (ja) 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド モジュラクラスタツール
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
US7798388B2 (en) 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8317934B2 (en) 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP6049394B2 (ja) 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
US20140119858A1 (en) 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
KR20010020130A (ko) * 1997-04-21 2001-03-15 스탠리 디. 피에코스 가스의 계측제어를 이용한 기판 처리장치
KR20090025270A (ko) * 2006-05-26 2009-03-10 브룩스 오토메이션 인코퍼레이티드 선형으로 분배된 반도체 작업물 처리용 설비
JP3124289U (ja) * 2006-06-02 2006-08-10 株式会社島津製作所 クラスタ型装置
KR20100022060A (ko) * 2007-05-18 2010-02-26 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230053082A (ko) 2021-10-14 2023-04-21 주식회사 저스템 웨이퍼 버퍼용 챔버장치
KR20230162916A (ko) 2021-10-14 2023-11-29 주식회사 저스템 웨이퍼 버퍼용 챔버장치

Also Published As

Publication number Publication date
CN105551998A (zh) 2016-05-04
US9673071B2 (en) 2017-06-06
TWI713471B (zh) 2020-12-21
TW201628119A (zh) 2016-08-01
CN115020276A (zh) 2022-09-06
KR102534391B1 (ko) 2023-05-18
JP2016105462A (ja) 2016-06-09
US20160118280A1 (en) 2016-04-28

Similar Documents

Publication Publication Date Title
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
JP6582676B2 (ja) ロードロック装置、及び基板処理システム
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
US10262887B2 (en) Pin lifter assembly with small gap
JP2016105462A5 (ko)
JP2013033965A (ja) 基板処理装置、基板処理設備、及び基板処理方法
KR102521160B1 (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
US10559483B2 (en) Platform architecture to improve system productivity
KR20160141244A (ko) 기판 처리 장치 및 방법
KR100803562B1 (ko) 기판 처리 장치
US20220403506A1 (en) Automated cleaning of robot arms of substrate processing systems
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR102299886B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20160233114A1 (en) Chambers for particle reduction in substrate processing systems
KR20230067075A (ko) 기판 처리 장치 및 이를 포함하는 반도체 제조 설비
KR20230066455A (ko) 프로세싱 후 기판을 세정하기 위한 방법 및 장치
TW202201463A (zh) 基板處理工具的線性配置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant