KR20230131969A - 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture) - Google Patents

선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture) Download PDF

Info

Publication number
KR20230131969A
KR20230131969A KR1020237030422A KR20237030422A KR20230131969A KR 20230131969 A KR20230131969 A KR 20230131969A KR 1020237030422 A KR1020237030422 A KR 1020237030422A KR 20237030422 A KR20237030422 A KR 20237030422A KR 20230131969 A KR20230131969 A KR 20230131969A
Authority
KR
South Korea
Prior art keywords
atv
transfer robot
arm
transfer
robot
Prior art date
Application number
KR1020237030422A
Other languages
English (en)
Inventor
리처드 에이치. 굴드
리처드 블랭크
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230131969A publication Critical patent/KR20230131969A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)
  • Prostheses (AREA)

Abstract

기판 프로세싱 툴을 위한 ATV (Atmosphere-To-Vacuum) 이송 모듈은 적어도 하나의 로딩 스테이션과 인터페이싱하도록 구성된 제 1 측면, ATV 이송 모듈 내부에 배치된 이송 로봇 어셈블리, 및 제 1 측면에 반대되는 제 2 측면을 포함한다. 이송 로봇 어셈블리는 ATV 이송 모듈과 VTM (Vacuum Transfer Module) 사이에 배치된 적어도 하나의 로드 록과 적어도 하나의 로딩 스테이션 사이에서 기판을 이송하도록 구성된다. 제 2 측면은 적어도 하나의 로드 록들과 인터페이싱하도록 구성된다. 이송 로봇 어셈블리는 제 2 측면에 인접하게 배치되고, 적어도 하나의 로드 록은 ATV 이송 모듈의 내부 볼륨 내로 제 2 측면을 통해 연장한다.

Description

선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture){REDUCED FOOTPRINT PLATFORM ARCHITECTURE WITH LINEAR VACUUM TRANSFER MODULE}
관련된 출원들에 대한 교차 참조
본 출원은 2017년 3월 15일에 출원된 미국 가출원 번호 제 62/471,478 호의 이익을 주장한다. 상기 언급된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 기판 프로세싱 시스템의 기판 프로세싱 툴들의 구성들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템은 반도체 웨이퍼들과 같은 기판들의 증착, 에칭 및/또는 다른 처리를 수행하기 위해 사용될 수도 있다. 프로세싱 동안, 기판은 기판 프로세싱 시스템의 프로세싱 챔버에서 기판 지지체 상에 배치된다. 하나 이상의 전구체들을 포함하는 가스 혼합물들은 프로세싱 챔버 내로 도입되고 플라즈마는 화학 반응을 활성화하기 위해 스트라이킹될 (struck) 수도 있다.
기판 프로세싱 시스템은 제조실 내부에 배치된 복수의 기판 프로세싱 툴들을 포함할 수도 있다. 기판 프로세싱 툴들 각각은 복수의 프로세스 모듈들을 포함할 수도 있다. 일반적으로, 기판 프로세싱 툴은 프로세스 모듈들을 여섯 개까지 포함한다.
이제 도 1을 참조하면, 기판 프로세싱 툴 (100) 의 예시의 상면도가 도시된다. 기판 프로세싱 툴 (100) 은 복수의 프로세스 모듈들 (104) 을 포함한다. 예를 들어, 프로세스 모듈들 (104) 각각은 기판 상에서 하나 이상의 각각의 프로세스들을 수행하도록 구성될 수도 있다. 프로세싱될 기판들은 EFEM (Equipment Front End Module) (108) 과 같은 ATV (Atmosphere-To-Vacuum) 이송 모듈의 로딩 스테이션의 포트들을 통해 기판 프로세싱 툴 (100) 내로 로딩되고, 그리고 프로세스 모듈들 (104) 중 하나 이상으로 이송된다. 예를 들어, 이송 로봇 (112) 은 로딩 스테이션들 (116) 로부터 에어록들, 또는 로드 록들 (120) 로 기판들을 이송하도록 구성되고, 진공 이송 모듈 (128) 의 진공 이송 로봇 (124) 은 로드 록들 (120) 로부터 다양한 프로세스 모듈들 (104) 로 기판을 이송하도록 구성된다.
기판 프로세싱 툴을 위한 ATV (Atmosphere-To-Vacuum) 이송 모듈은 적어도 하나의 로딩 스테이션과 인터페이싱하도록 구성되는 제 1 측면, ATV 이송 모듈 내부에 배치되는 이송 로봇 어셈블리, 및 제 1 측면에 반대되는 제 2 측면을 포함한다. 이송 로봇 어셈블리는 ATV 이송 모듈과 진공 이송 모듈 (VTM : Vacuum Transfer Module) 사이에 배치된 적어도 하나의 로드 록과 적어도 하나의 로딩 스테이션 사이에서 기판들을 이송하도록 구성된다. 제 2 측면은 적어도 하나의 로드 록과 인터페이싱하도록 구성된다. 이송 로봇 어셈블리는 제 2 측면에 인접하게 배치되고, 적어도 하나의 로드 록은 제 2 측면을 통해 ATV 이송 모듈의 내부 볼륨 내로 연장한다.
다른 특징들에서, 적어도 하나의 로드 록의 적어도 대략 30 %는 ATV 이송 모듈의 내부 볼륨 내에 위치된다. 적어도 하나의 로드 록의 적어도 대략 50 %는 ATV 이송 모듈의 내부 볼륨 내에 위치된다. 적어도 하나의 로드 록의 적어도 대략 70 %는 ATV 이송 모듈의 내부 볼륨 내에 위치된다.
다른 특징들에서, ATV 이송 모듈은 EFEM (Equipment Front End Module) 에 대응한다. 적어도 하나의 로드 록은 제 1 로드 록과 제 1 로드 록 위에 배치된 제 2 로드 록을 포함한다. 적어도 하나의 로딩 스테이션은 제 1 로딩 스테이션과 제 1 로딩 스테이션 위에 배치된 제 2 로딩 스테이션을 포함한다. 이송 로봇 어셈블리는 제 1 로드 록 및 제 2 로드 록에 액세스하도록 구성된다.
다른 특징들에서, ATV 이송 모듈은 측면 레일과 측면 레일 상에 장착된 수직 레일을 더 포함한다. 이송 로봇 어셈블리는 수직 레일 상에 장착되고 수직 레일 상에서 수직 방향으로 상승 및 하강하도록 구성되고, 그리고 수직 레일은 측면 레일 상에서 수평 방향으로 슬라이딩하도록 (slide) 구성된다. 이송 로봇 어셈블리는 두 개의 암들 (arms) 을 포함하고, 암들 각각은 암 세그먼트 (arm segment) 및 엔드 이펙터 (end effector) 를 포함하고, 그리고 엔드 이펙터의 길이는 암 세그먼트의 길이보다 크다. 엔드 이펙터의 길이는 암 세그먼트의 길이의 두 배이다. 이송 로봇 어셈블리가 접힌 (folded) 구성에 있을 때, 암 세그먼트들 및 엔드 이펙터들은 동축이다.
다른 특징들에서, 이송 로봇 어셈블리는 이송 로봇을 지지하도록 구성된 이송 로봇 플랫폼을 포함한다. 이송 로봇 어셈블리는 수직 방향의 이송 로봇 플랫폼의 위치를 조정하기 위해 이송 로봇 플랫폼을 상승 및 하강시키고 그리고 수평 방향의 이송 로봇 플랫폼의 위치를 조정하도록 구성된다. 이송 로봇 어셈블리는 이송 로봇 플랫폼의 위치를 조정하도록 구성되는 제 1 로봇 정렬 (alignment) 암 및 제 2 로봇 정렬 암을 포함한다. 이송 로봇은 (i) 암 세그먼트 및 (ii) 엔드 이펙터를 가지는 암을 포함한다.
다른 특징들에서, 기판 프로세싱 툴은 ATV 이송 모듈을 포함하고 VTM을 더 포함한다. VTM은 복수의 프로세스 모듈들을 포함하고 복수의 프로세스 모듈들은 VTM의 제 1 측면 상에 배치된 적어도 세 개의 프로세스 모듈들 및 제 1 측면과 반대되는 VTM의 제 2 측면 상에 배치된 적어도 세 개의 프로세스 모듈들을 포함한다. 복수의 프로세스 모듈들은 수직으로 적층된 구성의 프로세스 모듈들을 포함한다.
본 개시의 적용 가능성의 추가 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 예시의 목적으로만 의도되었으며 개시의 범위를 제한하기 위해 의도된 것이 아니다.
본 개시는 상세한 기술 및 첨부한 도면들로부터 보다 충분히 이해될 것이다.
본 개시는 상세한 기술 및 첨부한 도면들로부터 보다 충분히 이해될 것이다.
도 1은 예시적인 기판 프로세싱 툴이다.
도 2a 내지 도 2d는 여섯 개의 프로세스 모듈들을 포함하는 기판 프로세싱 툴들의 예시적인 구성들의 평면도들이다.
도 3a 내지 도 3d는 예시적인 EFEM 및 이송 로봇을 도시한다.
도 4a는 예시적인 기판 프로세싱 툴의 측면도이다.
도 4b는 EFEM 내부에 위치되는 예시적인 로드 록의 평면도이다.
도 5a 내지 도 5c는 또 다른 예시적인 EFEM 및 이송 로봇을 도시한다.
도 6a 내지 도 6c는 열 개의 프로세스 모듈들을 포함하는 기판 프로세싱 툴의 예시적인 구성들의 평면도들이다.
도면들에서, 참조 번호들은 유사하거나 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
제조실 내부 기판 프로세싱 툴들의 수량, 위치 등은 기판 프로세싱 툴들의 크기들 및 각각의 구성들에 의해 제한될 수도 있다. 따라서, 기판 프로세싱 툴들의 구성은 툴 풋프린트, 간격, 및/또는 피치 (pitch) 를 규정하고, 이는 제조실의 툴 밀도를 더 규정한다. 툴 밀도는 제조실의 단위 면적 당 프로세싱 툴들 및/또는 프로세싱 모듈들의 수를 지칭할 수도 있다. 본 개시의 원리들에 따른 시스템들 및 방법들은 기판 프로세싱 툴 밀도를 최대화하기 위해 다양한 기판 프로세싱 툴 구성들을 제공한다.
예를 들어, 기판 프로세싱 툴의 EFEM은 EFEM과 진공 이송 모듈 (VTM) 사이에 배치된 로드 록들과 EFEM 사이에서 기판들을 이송하기 위해 하나 이상의 이송 로봇을 포함할 수도 있다. EFEM의 내부 볼륨은 이송 로봇을 수용하기 충분해야 한다. 따라서, 로드 록들은 일반적으로 EFEM과 VTM 사이의 EFEM의 풋프린트의 외부에 위치된다. 본 개시의 원리에 따른 시스템들 및 방법들은 기판 프로세싱 툴의 풋프린트를 감소시키도록 구성된 수정된 에어록들을 구현한다. 일부 예들에서, EFEM은 에어록들이 적어도 부분적으로 EFEM 내부에 위치하도록 허용하는 구성을 가지는 이송 로봇을 포함할 수도 있다.
도 2a, 도 2b, 도 2c, 및 도 2d는 본 개시의 원리들에 따른 제 1 기판 프로세싱 툴 (200-1), 제 2 기판 프로세싱 툴 (200-2), 및 제 3 기판 프로세싱 툴 (200-3) (기판 프로세싱 툴들 (200) 로 총괄하여 지칭됨) 의 예시적인 구성들의 평면도들을 도시한다. 프로세싱 툴들 (200) 각각은 적어도 로드 록들 (208) 의 일부를 수용하도록 구성된 수정된 EFEM (204) 을 포함한다. 즉, EFEM (204) 의 외부에 위치하는 대신, EFEM (204) 과 진공 이송 모듈 (VTM) 사이 갭에서 로드 록들 (208) 은 EFEM (204) 의 내부로 연장한다. 예를 들어, 로드 록들 (208) 의 전체 외부 길이 또는 체적의 적어도 대략 50 % (예를 들어, 45 내지 55 %) 는 EFEM (204) 내부에 위치할 수도 있다. 일부 예들에서, 로드 록들 (208) 의 전체 외부 길이 또는 체적의 적어도 대략 70 % (예를 들어, 65 내지 75 %) 는 EFEM (204) 내부에 위치된다. 다른 예들에서, 로드 록들 (208) 의 전체 외부 길이 또는 체적의 적어도 대략 30 % (예를 들어, 25 내지 35 %) 는 EFEM (204) 내부에 위치된다. 따라서, EFEM (204) 은 VTM (212) 에 보다 가깝게 위치할 수 있어, 툴들 (200) 의 전체 풋프린트를 감소시키고 피치를 증가시킨다. 예를 들어, 본 개시에 따른 EFEM (204) 의 이송 로봇 (216) 은 EFEM (204) 내부로 연장하기 위해 로드 록들 (208) 에 공간을 제공하는 EFEM (204) 의 후면 (224) (예를 들어, 제 2 측면) 보다 전면 (예를 들어, 제 1 측면) 상의 로딩 스테이션들 (220) 에 보다 가깝게 배치된다. EFEM (204) 및 이송 로봇 (216) 은 이하 도 3에서 보다 상세하게 기술된다. 일부 예들에서, 로드 록들 (208) 은 도 2d의 툴 (200-3) 의 대안적인 배열로 도시된 바와 같이 구성될 수도 있다. 단지 예를 들면, 로딩 스테이션들 (220) 은 FOUPs (Front Opening Unified Pods) 에 대응할 수도 있다.
도시된 바와 같이, 툴들 (200) 은 여섯 개의 프로세스 모듈들 (228) 을 포함한다. 그러나, 툴들 (200) 의 다른 구성들은 여섯 개보다 많은 프로세스 모듈들 (228) 을 포함할 수도 있다. 예를 들어, VTM (212) 의 길이는 추가적인 프로세스 모듈들 (228) 의 수용을 위해 연장될 수도 있다. 유사하게, VTM (212) 은 다양한 구성들을 가지는 진공 이송 로봇들 (232) 을 포함할 수도 있다. 예를 들어, 툴 (200-1) 은 세 개의 진공 이송 로봇들 (232) 을 포함하고 툴 (200-2) 는 두 개의 진공 이송 로봇들 (232) 을 포함한다. 툴들 (200-1 및 200-3) 에서, 로봇들 (232) 은 VTM (212) 의 중심 세로 축과 정렬된다. 반대로, 툴 (200-3) 은 VTM (212) 의 중심 세로 축에 대하여 중심에서 벗어나 배치된 (즉, 프로세스 모듈들 (228) 을 향해 오른쪽 또는 왼쪽으로 시프팅된 (shifted)) 단일 진공 이송 로봇 (232) 을 포함한다. 즉, 로봇 (232) 의 주된 피벗 (pivot) 지점은 중심에서 벗어난다. 하나 또는 두 개의 암을 가지는 것으로 도시되었지만, 로봇들 (216 및 232) 각각은 하나, 둘, 또는 그 이상의 암들을 포함하는 구성들을 가질 수도 있다. 일부 예들에서, 로봇 (232) 은 도 2c 및 도 2d에서 도시된 바와 같이 암들 각각에 두 개의 엔드 이펙터 (234) 들을 포함할 수도 있다.
기판 프로세싱 툴들 (200) 은 프로세싱 단계들 사이에 하나 이상의 기판들을 저장하도록 구성된 하나 이상의 저장 버퍼들을 포함할 수도 있다. 일부 예들에서, 저장 버퍼들 (240) 은 VTM (212) 내부에 위치할 수도 있다. 일부 예들에서, 하나 이상의 저장 버퍼들 (236) 은 프로세스 모듈들 또는 다른 컴포넌트들로 대체될 수도 있다.
일부 예들에서, EFEM (204), 로드 록들 (208), VTM (212), 및 프로세스 모듈들 (228) 중 하나 이상은 아래에 보다 상세하게 기술된 것처럼 적층된 구성을 가질 수도 있다. 예를 들어, 프로세스 모듈들 (228) 각각은 수직적으로 적층된 구성 (즉, 다른 모듈 위/아래에 배치된 하나의 프로세스 모듈 (228)) 의 두 개의 프로세스 모듈들 (228) 에 대응할 수도 있고, VTM (212) 은 수직적으로 적층된 구성의 두 개의 VTM들 (212) 에 대응할 수도 있고, 로드 록들 (208) 각각은 수직적으로 적층된 구성의 두 개의 로드 록들 (208) 에 대응할 수도 있고, 로딩 스테이션들 (220) 각각은 수직적으로 적층된 구성의 두 개의 로딩 스테이션들 (220) 에 대응할 수도 있다. EFEM (204) 의 높이는 로딩 스테이션들 (220) 및 로드 록들 (208) 의 다중 레벨들에 액세스하기 위해 로봇 (216) 으로 하여금 EFEM (204) 내에서 상이한 레벨들로 상승 및 하강되게 하도록 증가할 수도 있다.
도 3a, 도 3b, 도 3c, 및 도 3d는 본 개시의 원리들에 따른 예시적인 EFEM (300) 및 이송 로봇 어셈블리 (304) 를 도시한다. 어셈블리 (304) 는 EFEM (300) 내부 하나 이상의 수직 레일들 (308) 에 장착될 수도 있고, 결국 측면 레일 (312) 상에 장착된다. 어셈블리 (304) 는 수직 레일들 (308) 상에서 수직, Z 방향으로 상승 및 하강하도록 구성된다. 예를 들어, 어셈블리 (304) 는 수직 레일들 (308) 의 슬롯들 (316) 에 장착될 수도 있다. 반대로, 어셈블리 (304) 는 수평 레일 (312) 을 따라 수직 레일들 (308) 과 수평, X 방향으로 슬라이딩하도록 구성된다. 이러한 방식으로, 어셈블리 (304) 의 위치는 상이한 높이들 (즉, 레벨들) 의 로드 록들 (320) 및 로딩 스테이션 (324) 으로 액세스를 제공하도록 Z 방향 및 X 방향으로 조정될 수도 있다.
일례에서, 이송 로봇 어셈블리 (304) 는 두 개의 암들 (328) 을 포함하고, 각각은 암 세그먼트 (332) 및 엔드 이펙터 (336) 를 포함한다. 단지 예를 들면, 엔드 이펙터 (336) 는 암 세그먼트 (332) 보다 길 수도 있다. 일례에서, 엔드 이펙터 (336) 의 길이 L2는 암 세그먼트 (332) 의 길이 L1의 두 배이다 (예를 들어, L2 = ~2*L1). 엔드 이펙터 (336) 의 길이 L2는 엔드 이펙터 (336) 의 기판 지지 단부의 대략 중심부와 엔드 이펙터 (336) 의 피벗 지점 (즉, 암 세그먼트 (332) 에 대한 엔드 이펙터 (336) 의 피벗 지점) 사이 거리에 대응한다. 암 세그먼트 (332) 의 길이 L1은 암 세그먼트 (332) 의 피벗 지점 (즉, 암 세그먼트 (332) 에 대하여 엔드 이펙터 (336) 의 피벗 지점) 들과 이송 로봇 어셈블리 (304) 의 베이스에 대한 암 세그먼트 (332) 의 피벗 지점 사이 거리에 대응한다. 암 세그먼트 (332) 의 길이 L1에 비해 엔드 이펙터 (336) 의 보다 큰 길이 L2는 엔드 이펙터 (336) 로 하여금 로드 록들 (320) 에 또한 진입하기 위해 암 세그먼트 (332) 를 필요로 하지 않고 로드 록들 (320) 에 액세스하게 한다.
도시된 바와 같이 접힌 구성에 있을 때, 어셈블리 (304) 는 EFEM (300) 에 대하여 (예를 들어, 이송되는 기판의 크기에 따라) 상대적으로 좁은 프로파일 (profile) 을 가진다. 따라서, EFEM은 로드 록들 (320) 의 적어도 일부를 수용하도록 구성된다. 어셈블리 (304) 는 통합된 기판 정렬기 (340) 를 포함할 수도 있다. 이러한 예에서, 엔드 이펙터들 (336) 의 보다 큰 길이 L2는 이송 로봇 어셈블리 (304) 가 도 3c에 도시된 바와 같이 접힌 구성에 있을 때 엔드 이펙터들 (336) 로 하여금 기판 정렬기 (340) 위에 위치하도록 허용한다. 예를 들어, 암 세그먼트들 (332) 및 엔드 이펙터들 (336) 의 상대적인 길이들은 암 세그먼트들 (332), 엔드 이펙터들 (336), 및 기판 정렬기 (340) 가 라인 (344) 상에 정렬되는 (즉, 라인 (344) 과 동축) 상대적으로 선형으로 접힌 구성을 허용한다.
암들 (328) 각각은 수직 레일들 (308) 중 각각의 레일의 슬롯 (316) 에 장착될 수도 있다. 예를 들어, 수직 레일들 (308) 은 서로 독립적으로 이동할 수도 있다. 즉, 도 3a, 도 3b, 및 도 3c에서 콤팩트한 배열로 (즉, 수직 레일들 (308) 은 비교적 서로 가깝다) 도시되었지만, 레일들 (308) 중 하나는 도 3d에 도시된 바와 같이 레일들 (308) 의 다른 하나에 반대되는 EFEM (300) 의 단부로 이동될 수도 있다. 이러한 방식으로, 각각의 암들 (328) 은 로딩 스테이션들 (324) 및/또는 로드 록들 (320) 중 상이한 것들에 동시에 액세스하도록 구성된다. 다른 예들에서, EFEM (300) 은 수직 레일들 (308) 의 단지 하나 및 암들 (328) 중 각각의 암을 포함할 수도 있다.
일부 예들에서, 이송 로봇 어셈블리 (304) 의 구성에 의해 달성된 EFEM (300) 내부 추가 공간은 추가 기판 프로세싱 및 이송 시스템 컴포넌트들로 하여금 EFEM (300) 내부에 위치하게 할 수도 있다. 예를 들어, 계측 스테이션들, 저장 버퍼들, 노치 (notch) 정렬 스테이션들, 에지 링 저장부 등을 포함하지만 이로 한정되지 않는 컴포넌트들이 EFEM (300) 에 위치될 수도 있다. 일례에서, 접힌 구성에 있을 때, 이송 로봇 어셈블리 (304) 는 EFEM (300) 의 전체 깊이의 50 %보다 적게 차지한다.
도 4a는 듀얼, 수직적으로 적층된 구성의 예시적인 기판 프로세싱 툴 (400) 의 측면도를 도시한다. 기판 프로세싱 툴 (400) 은 위의 도 3a 내지 도 3c에 기술된 것처럼 이송 로봇 어셈블리 (408) 를 수용하기 위해 연장된 높이를 가지는 EFEM (404) 을 포함한다. 이송 로봇 어셈블리 (408) 는 수직으로 적층된 로딩 스테이션들 (420) 및 로드 록들 (424) 에 액세스하기 위해 수직 레일들 (412) 및 수평 레일 (416) 상에서 상승 및 하강하도록 구성된다. 로드 록들 (424) 은 EFEM (404) 내부에 적어도 부분적으로 위치된다.
툴 (400) 은 수직적으로 적층된 VTM들 (428) 을 포함한다. VTM들 (428) 각각은 하나 이상의 진공 이송 로봇들 (432) 을 포함한다. 진공 이송 로봇들 (432) 은 로드 록들 (424) 과 수직적으로 적층된 프로세스 모듈들 (436) 사이에서 기판들을 이송하도록 구성된다.
도 4b는 EFEM (404) 내부에 위치한 예시적인 로드 록들 (424) 중 하나의 평면도를 도시한다. 도시된 바와 같이, 로드 록 (424) 의 전체 외부 길이 (예를 들어, 제 1 외측 벽 (440) 으로부터 제 2 외측 벽 (444) 까지의 길이 L) 의 70 %보다 큰 길이가 EFEM (404) 내부에 위치된다. 기판들은 EFEM (404) 의 내부 볼륨 안에 위치한 포트들 (448) 을 통해 (예를 들어, 이송 로봇 어셈블리 (408) 를 사용하여) EFEM (404) 으로부터 로드 록 (424) 으로 이송된다. 반대로, 기판들은 포트들 (452) 을 통해 로드 록 (424) 으로부터 VTM (428) 으로 이송된다. 도시된 바와 같이, 로드 록 (424) 은 두 개의 로딩 스테이션들 (456), 두 개의 포트들 (448), 및 두 개의 포트들 (452) 을 포함한다.
밸브 (460) 및 펌프 (464) 는 로드 록 (424) 을 펌프 다운하고, 진공으로 유지하고, 로드 록 (424) 을 퍼지 (purge) 하는 등을 하도록 작동될 수도 있다. 일부 예들에서, 밸브 (460) 는 EFEM (404) 의 외부 표면 상의 로드 록 (424) 과 인터페이싱한다. 다른 예들에서, 밸브 (460) 는 EFEM (404) 내부 표면 상의 로드 록 (424) 과 인터페이싱한다.
도 5a, 도 5b, 및 도 5c는 또 다른 예시적인 EFEM (500) 및 이송 로봇 어셈블리 (504) 를 도시한다. 예를 들어, EFEM (500) 및 이송 로봇 어셈블리 (504) 는 도 2a 내지 도 2d의 임의의 기판 프로세싱 툴들 (200) 에서 구현될 수도 있다. 어셈블리 (504) 는 EFEM (500) 의 프론트 엔드 영역 내 (즉, 로딩 스테이션 측) 에 장착될 수도 있다. 예를 들어, 어셈블리 (504) 는 EFEM (500) 의 프론트 엔드 영역에 배치된 장착 섀시 (chassis) (508) 에 커플링될 수도 있다. 어셈블리 (504) 는 수직의, Z 방향에서 이송 로봇 플랫폼 (512) 을 상승 및 하강 모두, 그리고 수평의, X 방향에서 플랫폼 (512) 의 측면 위치를 조정하도록 구성된다. 이러한 방식으로, 어셈블리 플랫폼 (512) 의 위치는 상이한 높이들 (즉, 레벨들) 의 로드 록들 (516) 및 로딩 스테이션들 (520) 로 액세스를 제공하기 위해 Z 방향 및 X 방향에서 조정될 수도 있다.
일례에서, 이송 로봇 어셈블리 (504) 는 플랫폼 (512) 의 위치를 조정하기 위해 피벗 지점들 (530 및 532) 을 중심으로 작동하도록 (대응하는 모터들을 포함할 수도 있는) 구성되는 두 개의 로봇 정렬 암들 (524 및 528) 을 포함한다. 플랫폼 (512) 은 이송 로봇 (536) 을 지지한다. 이송 로봇 (536) 은 암 세그먼트 (540) 및 엔드 이펙터 (544) 를 포함하는 암을 포함한다. 도시된 바와 같이 접힌 구성에 있을 때, 어셈블리 (504) 및 이송 로봇 (536) 은 EFEM (500) 에 대하여 (예를 들어, 이송되는 기판의 크기에 따라) 상대적으로 좁은 프로파일을 가진다. 따라서, EFEM (500) 은 도 3a 내지 도 3d의 EFEM (300) 과 유사한 방식으로 로드 록들 (516) 의 적어도 일부를 수용하도록 구성된다. 일부 예들에서, 플랫폼 (512) 은 통합된 기판 정렬기 (548) 를 포함할 수도 있다. 이러한 예들에서, 엔드 이펙터 (544) 는 이송 로봇 (536) 이 도 5a, 도 5b, 및 도 5c에 도시된 것처럼 접힌 구성에 있을 때 기판 정렬기 (548) 위에 위치된다. 이송 로봇 (536) 은 암 세그먼트 (540), 엔드 이펙터 (544), 및 기판 정렬기 (548) 가 라인 (552) 상에 정렬될 때 (예를 들어, 라인 (552) 과 동축) 상대적으로 선형의 접힌 구성을 가진다.
일부 예들에서, 이송 로봇 어셈블리 (504) 의 구성에 의해 달성되는 EFEM (500) 내부 추가 공간은 추가 기판 프로세싱 및 이송 시스템 컴포넌트들이 EFEM (500) 내부에 위치되도록 허용할 수도 있다. 예를 들어, 계측 스테이션들, 저장 버퍼들, 노치 정렬 스테이션들, 에지 링 저장부 등을 포함하지만 한정되지 않는 컴포넌트들은 EFEM (500) 내 위치될 수도 있다. 일례에서, 접힌 구성에 있을 때, 이송 로봇 어셈블리 (504) 는 EFEM (500) 의 전체 깊이의 50 %보다 적게 차지한다.
도 3a 내지 도 3d 및 도 5a 내지 도 5c는 수직으로 적층된 로딩 스테이션들 및 로드 록들에 액세스하도록 배치된 EFEM들 (300 및 500) 을 도시하고, 다른 예들에서 EFEM들 (300 및 500) 은 수직으로 적층된 구성들을 포함하지 않는 기판 프로세싱 툴들에서 구현될 수도 있다. 예를 들어, 일부 기판 프로세싱 툴들은 툴 상/내부에 보다 큰 높이로 배치된 로딩 스테이션들, 로드 록들, 및/또는 프로세스 모듈들을 포함할 수도 있고, 로딩 스테이션들, 로드 록, 및/또는 프로세스 모듈들 등 상에 보다 높게 배치된 액세스 슬롯들을 가진다.
도 6a, 도 6b, 및 도 6c는 본 개시의 원리들에 따른 또 다른 기판 프로세싱 툴 (600) 의 예시적인 구성들의 평면도들을 도시한다. 프로세싱 툴 (600) 은 하나 이상의 로드 록들 (608) 의 적어도 일부를 수용하도록 구성된 수정된 EFEM (604) 을 포함한다. 즉, EFEM (604) 의 완전히 외부에 위치되는 대신, EFEM (604) 과 진공 이송 모듈 (VTM) (612) 사이 갭에서 로드 록들 (608) 은 EFEM (604) 의 내부로 연장한다. 따라서, EFEM (604) 은 VTM (612) 에 보다 가깝게 위치될 수 있어, 전체 풋프린트를 감소시키고 복수의 툴들 (600) 의 피치를 증가시킨다. EFEM (604) 은, 예를 들어, 도 3a 내지 도 3d에 기술된 이송 로봇 어셈블리 (304), 도 5a 내지 도 5c에 기술된 이송 로봇 어셈블리 (504), 등을 포함하도록 구성될 수도 있다.
도시된 바와 같이, 툴 (600) 은 열 개의 프로세스 모듈들 (616) 을 포함한다. 예를 들어, VTM (612) 의 길이는 추가 프로세스 모듈들 (616) 을 수용하도록 연장될 수도 있다. 유사하게, VTM (612) 은 다양한 구성들을 가지는 하나 이상의 진공 이송 로봇들 (620) (예를 들어, 이송 로봇들 (620-1, 620-2, 620-3, 620-4, 및 620-5)) 을 포함할 수도 있다. 도시된 바와 같이, 이송 로봇들 (620) 은 구성들 각각에서 세 개의 암 세그먼트들 (628) 및 한 개의 엔드 이펙터 (632) 를 가지는 한 개의 암 (624) 을 포함한다. 다른 구성들에서, 이송 로봇들 (620) 은 한 개, 두 개, 또는 그 이상의 암들 (624) 을 포함할 수도 있다. 일부 예들에서, 로봇들 (620) 은 암들 (624) 각각 상에 두 개의 엔드 이펙터들 (632) 을 포함할 수도 있다.
도 6a에 도시된 바와 같이, 툴 (600) 은 VTM (612) 의 중심 세로 축에 대하여 중심에서 벗어나 배치된 (즉, 프로세스 모듈들 (616) 을 향해 오른쪽 또는 왼쪽으로 시프팅된) 단일 진공 이송 로봇 (620-1) 을 포함한다. 즉, 로봇 (620-1) 의 주된 피벗 지점은 중심에서 벗어난다. 로봇 (620-1) 은 열 개의 프로세스 모듈들 (616) 각각 및 로드 록(들) (608) 에 액세스하도록 위치되고 구성된다. 툴 (600) 이 저장 버퍼들 (636) 및/또는 저장 버퍼들 (640) 을 포함하는 구성들에서, 로봇 (620-1) 은 또한 저장 버퍼들 (636/640) 에 액세스하도록 구성된다.
도 6b 및 도 6c에 도시된 바와 같이, 툴 (600) 은 VTM (612) 의 중심 세로 축에 대하여 중심에서 벗어나 배치된 (즉, 프로세스 모듈들 (616) 을 향해 오른쪽 또는 왼쪽으로 시프팅된) 두 개의 이송 로봇 (620-2 및 620-3 또는 620-4 및 620-5) 을 각각 포함한다. 로봇들 (620-2 및 620-4) 은 열 개의 프로세스 모듈들 (616) 중 선택된 모듈들 및 로드 록(들) (608) 에 액세스하도록 위치되고 구성된다. 반대로, 로봇들 (620-3 및 620-5) 은 열 개의 프로세스 모듈들 (616) 의 다른 모듈들에 액세스하도록 위치되고 구성된다. 툴 (600) 이 저장 버퍼들 (636) 및/또는 저장 버퍼들 (640) 을 포함하는 구성들에서, 로봇들 (620-3 및 620-5) 은 도 6b에서 로봇들 (620-2 및 620-3) 모두 및 도 6a에서 로봇들 (620-4 및 620-5) 모두가 저장 버퍼들 (640) 에 액세스하도록 구성되는 동안, 또한 저장 버퍼들 (636) 에 액세스하도록 구성될 수도 있다.
예를 들어, 도 6b에 도시된 바와 같이, 로봇 (620-2) 은 로봇 (620-3) 이 프로세스 모듈들 (616) 중 인접한 모듈들 사이 중심에 배치되는 동안 프로세스 모듈들 (616) 각각의 하나와 정렬된다 (예를 들어, 가로 축의 중심에 온다). 반대로, 도 6c에 도시된 바와 같이, 로봇들 (620-4 및 620-5) 각각은 프로세스 모듈들 (616) 각각의 하나와 정렬된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 기판 프로세싱 툴을 위한 ATV (atmospheric-to-vacuum) 이송 모듈에 있어서,
    ATV 이송 모듈과 분위기 사이에 배치된 (dispose) 적어도 하나의 로딩 스테이션과 인터페이싱하도록 구성된 제 1 측면;
    상기 ATV 이송 모듈과 기판 이송 모듈 사이에 배치된 복수의 로드 록들과 인터페이싱하도록 구성된, 상기 제 1 측면에 반대되는 제 2 측면;
    상기 ATV 이송 모듈 내에 배치된 이송 로봇 어셈블리로서, 상기 이송 로봇 어셈블리는 상기 적어도 하나의 로딩 스테이션과 상기 복수의 로드 록들 사이에서 기판들을 이송하도록 구성되고, 상기 이송 로봇 어셈블리는 상기 ATV 이송 모듈의 상기 제 1 측면 또는 상기 제 2 측면에 인접하게 장착되는, 상기 이송 로봇 어셈블리; 및
    상기 이송 로봇 어셈블리 상에 장착된 이송 로봇을 포함하고,
    상기 이송 로봇 어셈블리는 (i) 상기 이송 로봇을 상기 복수의 로드 록들과 수직 방향으로 정렬하기 위해 상기 이송 로봇을 상승 및 하강시키고 (ii) 상기 이송 로봇을 상기 복수의 로드 록들과 수평 방향으로 정렬시키기 위해 상기 이송 로봇을 수평 방향으로 이동시키도록 구성되고,
    상기 이송 로봇은 좁은 프로파일을 갖는 접힌 구성으로 접히도록 구성된 적어도 하나의 암을 포함하고, 상기 이송 로봇의 상기 적어도 하나의 암은 하나 이상의 암 세그먼트들 및 엔드 이펙터를 포함하고, 그리고
    상기 이송 로봇 어셈블리 및 상기 이송 로봇은 상기 ATV 이송 모듈의 전체 깊이의 50 % 미만을 점유하고, 그리고 상기 ATV 이송 모듈의 상기 전체 깊이는 상기 ATV 이송 모듈의 상기 제 1 측면과 상기 제 2 측면 사이에서 연장하는 방향의 깊이에 대응하는, ATV 이송 모듈.
  2. 제 1 항에 있어서,
    상기 이송 로봇 어셈블리는 제 1 로봇 정렬 암 및 상기 제 1 로봇 정렬 암과 상기 이송 로봇을 지지하는 이송 로봇 플랫폼 사이에 연결된 제 2 로봇 정렬 암을 포함하고, 상기 제 2 로봇 정렬 암은 상기 제 1 로봇 정렬 암에 대해 피봇 지점을 중심으로 회전하도록 구성되고, 그리고 상기 이송 로봇 어셈블리는 (i) 상기 이송 로봇 플랫폼을 상승 및 하강시키고 (ii) 상기 이송 로봇 플랫폼의 위치를 수평 방향으로 조정하도록 상기 제 1 로봇 정렬 암 및 상기 제 2 로봇 정렬 암을 작동시키도록 구성되는, ATV 이송 모듈.
  3. 제 1 항에 있어서,
    상기 이송 로봇이 상기 접힌 구성에 있을 때, (i) 상기 엔드 이펙터 및 상기 암 세그먼트는 상기 암 세그먼트 위에 위치된 상기 엔드 이펙터와 상기 수평 방향으로 동축으로 정렬되고 (ii) 상기 엔드 이펙터 및 상기 암 세그먼트는 상기 ATV 이송 모듈의 상기 제 2 측면에 실질적으로 평행한, ATV 이송 모듈.
  4. 제 1 항에 있어서,
    상기 복수의 로드 록들 중 적어도 하나의 로드 록은 상기 제 2 측면을 통해 상기 ATV 이송 모듈의 내부 볼륨 내로 연장하고, 그리고 상기 적어도 하나의 로드 록의 적어도 30 %는 상기 ATV 이송 모듈의 상기 내부 볼륨 내에 위치되는, ATV 이송 모듈.
  5. 제 1 항에 있어서,
    상기 복수의 로드 록들 중 적어도 하나의 로드 록은 상기 제 2 측면을 통해 상기 ATV 이송 모듈의 내부 볼륨 내로 연장하고, 그리고 상기 적어도 하나의 로드 록의 적어도 50 %는 상기 ATV 이송 모듈의 상기 내부 볼륨 내에 위치되는, ATV 이송 모듈.
  6. 제 1 항에 있어서,
    상기 복수의 로드 록들 중 적어도 하나의 로드 록은 상기 제 2 측면을 통해 상기 ATV 이송 모듈의 내부 볼륨 내로 연장하고, 그리고 상기 적어도 하나의 로드 록의 적어도 70 %는 상기 ATV 이송 모듈의 상기 내부 볼륨 내에 위치되는, ATV 이송 모듈.
  7. 제 1 항에 있어서,
    상기 ATV 이송 모듈은 EFEM (equipment front end module) 인, ATV 이송 모듈.
  8. 제 1 항에 있어서,
    상기 복수의 로드 록들은 제 1 로드 록 및 상기 제 1 로드 록 위에 배치된 제 2 로드 록을 포함하고, 그리고 상기 이송 로봇 어셈블리는 상기 제 1 로드 록 및 상기 제 2 로드 록에 액세스하도록 구성되는, ATV 이송 모듈.
  9. 제 8 항에 있어서,
    상기 적어도 하나의 로딩 스테이션은 제 1 로딩 스테이션 및 상기 제 1 로딩 스테이션 위에 배치된 제 2 로딩 스테이션을 포함하는, ATV 이송 모듈.
  10. 제 9 항에 있어서,
    상기 ATV 이송 모듈은 상기 제 2 측면 상에 위치된 적어도 2 개의 수직으로 배치된 로드 록들을 포함하고; 그리고
    상기 이송 로봇 어셈블리는 상기 적어도 하나의 로딩 스테이션으로부터 상기 적어도 2 개의 수직으로 배치된 로드 록들 중 하나로 기판을 이송하도록 구성되는, ATV 이송 모듈.
  11. 제 1 항에 있어서,
    상기 이송 로봇은 2 개의 암들을 포함하고, 그리고 상기 암들 각각은 암 세그먼트 및 엔드 이펙터를 포함하는, ATV 이송 모듈.
  12. 제 11 항에 있어서,
    상기 엔드 이펙터의 길이는 상기 암 세그먼트의 길이보다 더 긴, ATV 이송 모듈.
  13. 제 12 항에 있어서,
    상기 엔드 이펙터의 상기 길이는 상기 암 세그먼트의 상기 길이의 두 배인, ATV 이송 모듈.
  14. 제 12 항에 있어서,
    상기 이송 로봇 어셈블리는 통합된 기판 정렬기를 포함하고, 그리고 상기 접힌 구성에 있을 때, 상기 엔드 이펙터는 상기 통합된 기판 정렬기 위에 위치되는, ATV 이송 모듈.
  15. 제 1 항에 있어서,
    측면 레일 및 상기 측면 레일 상에 장착된 적어도 하나의 수직 레일을 더 포함하고, 상기 이송 로봇 어셈블리는 상기 적어도 하나의 수직 레일 상에 장착되고 상기 적어도 하나의 수직 레일 상에서 상기 수직 방향으로 상승 및 하강하도록 구성되고, 그리고 상기 적어도 하나의 수직 레일은 상기 측면 레일 상에서 수평 방향으로 슬라이딩하도록 구성되는, ATV 이송 모듈.
  16. 제 15 항에 있어서,
    상기 적어도 하나의 수직 레일은 제 1 수직 레일 및 제 2 수직 레일을 포함하고, 상기 이송 로봇은 상기 제 1 수직 레일 상에 장착된 제 1 암 및 상기 제 2 수직 레일 상에 장착된 제 2 암을 포함하고, 그리고 상기 제 1 암 및 상기 제 2 암 각각은 암 세그먼트 및 엔드 이펙터를 포함하는, ATV 이송 모듈.
  17. 제 16 항에 있어서,
    상기 제 2 수직 레일은 상기 제 1 암 및 상기 제 2 암이 상기 적어도 하나의 로딩 스테이션 중 상이한 로딩 스테이션들 및 상기 복수의 로드 록들 중 상이한 로드 록들에 동시에 액세스하게 구성되도록 상기 제 1 수직 레일과 독립적으로 이동하도록 구성되고, 그리고 상기 제 1 암 및 상기 제 2 암은 (i) 상기 적어도 하나의 로딩 스테이션에 동시에 액세스하고 (ii) 제 1 로드 록 및 제 2 로드 중 하나에 동시에 액세스하도록 구성되는, ATV 이송 모듈.
  18. 제 1 항에 기재된 ATV 이송 모듈을 포함하고 복수의 프로세스 모듈들을 더 포함하고, 상기 복수의 프로세스 모듈들은 상기 기판 프로세싱 툴의 제 1 측면 상에 배치된 적어도 3 개의 프로세스 모듈들 및 상기 제 1 측면에 반대되는 상기 기판 프로세싱 툴의 제 2 측면 상에 배치된 적어도 3 개의 프로세스 모듈들을 포함하는, 기판 프로세싱 툴.
  19. 제 18 항에 있어서,
    상기 복수의 프로세스 모듈들은 수직으로 스택된 구성의 프로세스 모듈들을 포함하는, 기판 프로세싱 툴.
  20. ATV 이송 모듈 내에 배치된 이송 로봇 어셈블리에 있어서,
    엔드 이펙터 및 상기 엔드 이펙터와 이송 로봇 플랫폼 사이에 연결된 하나 이상의 암 세그먼트들을 포함하는 이송 로봇; 및
    제 1 로봇 정렬 암 및 제 2 로봇 정렬 암을 포함하고,
    상기 제 1 로봇 정렬 암은 상기 이송 로봇 플랫폼 및 상기 제 2 로봇 정렬 암에 연결되고,
    상기 제 2 로봇 정렬 암은 상기 제 1 로봇 정렬 암 및 상기 ATV 이송 모듈의 장착 섀시 (mounting chassis) 에 연결되고,
    상기 이송 로봇 어셈블리는 (i) 수직 방향으로 상기 이송 로봇의 위치를 조정하고 (ii) 수평 방향으로 상기 이송 로봇의 상기 위치를 조정하기 위해 상기 이송 로봇을 상승 및 하강시키도록 상기 제 1 로봇 정렬 암 및 상기 제 2 로봇 정렬 암을 작동시키도록 구성되고,
    상기 이송 로봇은 좁은 프로파일을 갖는 접힌 구성으로 접히도록 구성되고,
    상기 이송 로봇 어셈블리 및 상기 이송 로봇은 상기 ATV 이송 모듈의 전체 깊이의 50 % 미만을 차지하도록 구성되고, 그리고
    상기 ATV 이송 모듈의 상기 전체 깊이는 상기 수평 방향에 수직인 상기 ATV 이송 모듈의 제 1 측면으로부터 제 2 측면으로 연장하는 길이 방향의 깊이에 대응하는, 이송 로봇 어셈블리.
KR1020237030422A 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture) KR20230131969A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762471478P 2017-03-15 2017-03-15
US62/471,478 2017-03-15
PCT/US2018/022397 WO2018170104A1 (en) 2017-03-15 2018-03-14 Reduced footprint platform architecture with linear vacuum transfer module
KR1020197030174A KR102577199B1 (ko) 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197030174A Division KR102577199B1 (ko) 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)

Publications (1)

Publication Number Publication Date
KR20230131969A true KR20230131969A (ko) 2023-09-14

Family

ID=63523643

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237030422A KR20230131969A (ko) 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)
KR1020197030174A KR102577199B1 (ko) 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197030174A KR102577199B1 (ko) 2017-03-15 2018-03-14 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)

Country Status (8)

Country Link
US (3) US11521869B2 (ko)
EP (1) EP3596752A4 (ko)
JP (2) JP7275039B2 (ko)
KR (2) KR20230131969A (ko)
CN (1) CN110447095B (ko)
SG (2) SG10202110040SA (ko)
TW (2) TWI765984B (ko)
WO (1) WO2018170104A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
CN111081619B (zh) * 2019-12-27 2022-11-25 上海至纯洁净系统科技股份有限公司 一种晶圆片传输装置以及方法
JP2023520600A (ja) * 2020-04-06 2023-05-17 ラム リサーチ コーポレーション 基板処理システムのプロセスモジュールバイアスアセンブリ用の摺動・枢動アセンブリ
CN113644005A (zh) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 一种半导体处理系统
US20240170309A1 (en) * 2021-04-28 2024-05-23 Lam Research Corporation Semiconductor tool arrangements
JP2023070364A (ja) 2021-11-09 2023-05-19 東京エレクトロン株式会社 基板処理システム
WO2023086848A1 (en) * 2021-11-11 2023-05-19 Lam Research Corporation Nesting atmospheric robot arms for high throughput
WO2023205361A1 (en) * 2022-04-22 2023-10-26 Lam Research Corporation Shallow-depth equipment front end module with robot

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
JP4558981B2 (ja) 2000-11-14 2010-10-06 株式会社ダイヘン トランスファロボット
TWI304391B (en) * 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
JP4283559B2 (ja) * 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
KR101413762B1 (ko) 2007-08-22 2014-07-01 위순임 기판 처리 시스템
CN101383311B (zh) * 2007-09-04 2010-12-08 北京北方微电子基地设备工艺研究中心有限责任公司 晶片传输系统
CN101855717B (zh) * 2007-11-09 2011-10-19 佳能安内华股份有限公司 在线型晶圆输送装置
KR100998663B1 (ko) 2010-05-24 2010-12-07 지이에스(주) 로드락챔버 진공형성장치
JP5387622B2 (ja) * 2011-06-17 2014-01-15 株式会社安川電機 搬送ロボット
KR102578140B1 (ko) 2011-09-16 2023-09-14 퍼시몬 테크놀로지스 코포레이션 로봇 구동부 및 무선 데이터 커플링
TW201347936A (zh) * 2012-01-13 2013-12-01 Novellus Systems Inc 雙臂真空機器人
JP5810929B2 (ja) 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
TWI629743B (zh) 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US9213565B2 (en) 2013-06-28 2015-12-15 Vmware, Inc. Methods and systems for mining datacenter telemetry data
CN105378907A (zh) 2013-07-24 2016-03-02 应用材料公司 钴基板处理系统、设备及方法
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9299598B2 (en) * 2013-12-23 2016-03-29 Lam Research Corp. Robot with integrated aligner
US10278501B2 (en) * 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US10347516B2 (en) 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
KR102417929B1 (ko) 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6710518B2 (ja) * 2015-12-03 2020-06-17 東京エレクトロン株式会社 搬送装置及び補正方法
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
KR20190120834A (ko) 2019-10-24
US20200083071A1 (en) 2020-03-12
JP2020510310A (ja) 2020-04-02
JP7275039B2 (ja) 2023-05-17
EP3596752A4 (en) 2021-01-06
US11908714B2 (en) 2024-02-20
KR102577199B1 (ko) 2023-09-08
TWI793000B (zh) 2023-02-11
SG11201908188SA (en) 2019-10-30
TW202232632A (zh) 2022-08-16
JP2023099172A (ja) 2023-07-11
US20230062737A1 (en) 2023-03-02
CN110447095A (zh) 2019-11-12
SG10202110040SA (en) 2021-10-28
WO2018170104A1 (en) 2018-09-20
CN110447095B (zh) 2024-04-26
US20240194505A1 (en) 2024-06-13
TWI765984B (zh) 2022-06-01
TW201901835A (zh) 2019-01-01
EP3596752A1 (en) 2020-01-22
US11521869B2 (en) 2022-12-06

Similar Documents

Publication Publication Date Title
KR102577199B1 (ko) 선형 진공 이송 모듈을 갖는 감소된 풋프린트 플랫폼 아키텍처 (Footprint Platform Architecture)
JP7440592B2 (ja) 最適化された低エネルギ/高生産性の蒸着システム
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
US10559483B2 (en) Platform architecture to improve system productivity
US11282737B2 (en) Moving substrate transfer chamber
US20230085987A1 (en) Linear arrangement for substrate processing tools

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal