JP2020510310A - リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ - Google Patents

リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ Download PDF

Info

Publication number
JP2020510310A
JP2020510310A JP2019548638A JP2019548638A JP2020510310A JP 2020510310 A JP2020510310 A JP 2020510310A JP 2019548638 A JP2019548638 A JP 2019548638A JP 2019548638 A JP2019548638 A JP 2019548638A JP 2020510310 A JP2020510310 A JP 2020510310A
Authority
JP
Japan
Prior art keywords
atv
transport module
transfer robot
transfer
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019548638A
Other languages
English (en)
Other versions
JP7275039B2 (ja
JP2020510310A5 (ja
JPWO2018170104A5 (ja
Inventor
グールド・リチャード・エイチ.
ブランク・リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020510310A publication Critical patent/JP2020510310A/ja
Publication of JP2020510310A5 publication Critical patent/JP2020510310A5/ja
Publication of JPWO2018170104A5 publication Critical patent/JPWO2018170104A5/ja
Priority to JP2023076062A priority Critical patent/JP2023099172A/ja
Application granted granted Critical
Publication of JP7275039B2 publication Critical patent/JP7275039B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)
  • Prostheses (AREA)

Abstract

【解決手段】基板処理ツールのための大気圧−真空(ATV)搬送モジュールは、少なくとも1つのローディングステーションと接続するように構成された第1の側と、ATV搬送モジュール内に配置された搬送ロボットアセンブリと、第1の側に対向する第2の側とを備える。搬送ロボットアセンブリは、少なくとも1つのローディングステーションと、ATV搬送モジュールと真空搬送モジュール(VTM)との間に配置された少なくとも1つのロードロックとの間で基板を搬送するように構成されている。第2の側は、少なくとも1つのロードロックと接続するように構成されている。搬送ロボットアセンブリは、第2の側に隣接して配置され、少なくとも1つのロードロックは、第2の側を通ってATV搬送モジュールの内部に延びる。【選択図】図2A

Description

[関連出願の相互参照]
本出願は、2017年3月15日出願の米国仮出願第62/471,478号の利益を主張する。上記出願の全ての開示は、参照により本明細書に援用される。
本開示は、基板処理システムに関し、特に、基板処理システムにおける基板処理ツールの構成に関する。
本欄に記載の背景技術の説明は、本開示の内容を一般的に提示するためのものである。現在名前が挙げられている発明者の発明は、本背景技術欄に説明される範囲はもちろん、出願時における先行技術に適さない記載の態様の範囲において、本開示に対する先行技術として明示的にも黙示的にも認められない。
基板処理システムは、半導体ウエハなどの基板の堆積、エッチング、および/または、他の処理を実施するのに用いられてよい。処理の間、基板は、基板処理システムの処理チャンバ内の基板支持体上に配置される。1つ以上の前駆体を含むガス混合物は、処理チャンバに導入され、プラズマが衝突して化学反応を活性化してよい。
基板処理システムは、製作室内に配置された複数の基板処理ツールを備えてよい。各基板処理ツールは、複数の処理モジュールを備えてよい。通常、基板処理ツールは、最多で6つの処理モジュールを備える。
ここで図1を参照すると、基板処理ツール100の例の全体図が示されている。基板処理ツール100は、複数の処理モジュール104を備える。例えのみでは、各処理モジュール104は、基板上で1つ以上のそれぞれのプロセスを実施するように構成されてよい。処理される基板は、装置フロントエンドモジュール(EFEM)108などの大気圧−真空(ATV)搬送モジュールのローディングステーションのポートを介して基板処理ツール100に搭載され、次に、1つ以上の処理モジュール104に搬入される。例えば、搬送ロボット112は、基板をローディングステーション116からエアロック120またはロードロック120に搬送するように配置され、真空搬送モジュール128の真空搬送ロボット124は、基板をロードロック120から様々な処理モジュール104に搬送するように配置されている。
基板処理ツールの大気圧−真空(ATV)搬送モジュールは、少なくとも1つのローディングステーションと接続するように構成された第1の側と、ATV搬送モジュール内に配置された搬送ロボットアセンブリと、第1の側に対向する第2の側とを備える。搬送ロボットアセンブリは、少なくとも1つのローディングステーションと、ATV搬送モジュールと真空搬送モジュール(VTM)との間に配置された少なくとも1つのロードロックとの間で基板を搬送するように構成されている。第2の側は、少なくとも1つのロードロックと接続するように構成されている。搬送ロボットアセンブリは、第2の側に隣接して配置され、少なくとも1つのロードロックは、第2の側を通ってATV搬送モジュールの内部に延びる。
他の特徴では、少なくとも1つのロードロックの少なくとも約30%は、ATV搬送モジュールの内部に位置する。少なくとも1つのロードロックの少なくとも約50%は、ATV搬送モジュールの内部に位置する。少なくとも1つのロードロックの少なくとも約70%は、ATV搬送モジュールの内部に位置する。
他の特徴では、ATV搬送モジュールは、装置フロントエンドモジュール(EFEM)に相当する。少なくとも1つのロードロックは、第1のロードロックと、第1のロードロックの上方に配置された第2のロードロックとを備える。少なくとも1つのローディングステーションは、第1のローディングステーションと、第1のローディングステーションの上方に配置された第2のローディングステーションとを備える。搬送ロボットアセンブリは、第1のロードロックおよび第2のロードロックにアクセスするように構成されている。
他の特徴では、ATV搬送は、さらに、横レールと、横レールに取り付けられた縦レールとを備える。搬送ロボットアセンブリは、縦レールに取り付けられ、縦レールで垂直方向に昇降するように構成されており、縦レールは、横レールで水平方向にスライドするように構成されている。搬送ロボットアセンブリは、2つのアームを備え、各アームは、アームセグメントおよびエンドエフェクタを備える。エンドエフェクタの長さは、アームセグメントの長さよりも長い。エンドエフェクタの長さは、アームセグメントの長さの2倍である。搬送ロボットアセンブリが折りたたまれた状態にあるときは、アームセグメントおよびエンドエフェクタは同軸である。
他の特徴では、搬送ロボットアセンブリは、搬送ロボットを支持するように構成された搬送ロボットプラットフォームを備える。搬送ロボットアセンブリは、搬送ロボットプラットフォームの位置を垂直方向に調節し、搬送ロボットプラットフォームの位置を水平方向に調節するために、搬送ロボットプラットフォームを昇降するように構成されている。搬送ロボットアセンブリは、搬送ロボットプラットフォームの位置を調節するように構成された第1のロボット配置アームおよび第2のロボット配置アームを備える。搬送ロボットは、(i)アームセグメントおよび(ii)エンドエフェクタを有するアームを備える。
他の特徴では、基板処理ツールは、ATV搬送モジュールを備え、さらに、VTMを備える。VTMは、複数の処理モジュールを備え、複数の処理モジュールは、VTMの第1の側に配置された少なくとも3つの処理モジュールと、第1の側に対向するVTMの第2の側に配置された少なくとも3つの処理モジュールとを備える。複数の処理モジュールは、垂直に積み重ねられた構成の処理モジュールを備える。
本開示のさらなる適用領域は、発明を実施するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実施するための形態および特定の例は、例示のみを目的とし、本開示の範囲を限定する意図はない。
本開示は、発明を実施するための形態および付随の図面からより十分に理解されるだろう。
本開示は、発明を実施するための形態および付随の図面からより十分に理解されるだろう。
基板処理ツールの例。
6つの処理モジュールを備える基板処理ツールの構成例を示す平面図。 6つの処理モジュールを備える基板処理ツールの構成例を示す平面図。 6つの処理モジュールを備える基板処理ツールの構成例を示す平面図。 6つの処理モジュールを備える基板処理ツールの構成例を示す平面図。
装置フロントエンドモジュールおよび搬送ロボットの例。 装置フロントエンドモジュールおよび搬送ロボットの例。 装置フロントエンドモジュールおよび搬送ロボットの例。 装置フロントエンドモジュールおよび搬送ロボットの例。
基板処理ツール例の側面図。
装置フロントエンドモジュール内に位置するロードロック例を示す平面図。
装置フロントエンドモジュールおよび搬送ロボットの別の例。 装置フロントエンドモジュールおよび搬送ロボットの別の例。 装置フロントエンドモジュールおよび搬送ロボットの別の例。
10の処理モジュールを備える基板処理ツールの構成例を示す平面図。 10の処理モジュールを備える基板処理ツールの構成例を示す平面図。 10の処理モジュールを備える基板処理ツールの構成例を示す平面図。
図面では、参照番号は、類似および/または同一の要素を識別するために再使用されてよい。
製作室内の基板処理ツールの数、位置などは、基板処理ツールの寸法およびそれぞれの構成によって制約されてよい。それに応じて、基板処理ツールの構成は、ツール設置面積、間隔、および/または、ピッチを規定し、それらはさらに、製作室のツール密度を規定する。ツール密度は、製作室の単位面積あたりの基板処理ツールおよび/または処理モジュールの数を指してよい。本開示の原理によるシステムおよび方法は、基板処理ツールの密度を最大にするために様々な基板処理ツールの構成を提供する。
例えば、基板処理ツールの装置フロントエンドモジュール(EFEM)は、EFEMと、EFEMと真空搬送モジュール(VTM)との間に配置されたロードロックとの間で基板を搬送するための1つ以上の搬送ロボットを備えてよい。EFEMの内部は、搬送ロボットを収容するのに十分な容積でなければならない。従って、ロードロックは、通常、装置フロントエンドモジュール(EFEM)とVTMとの間でEFEMの設置面積の外側に設置される。本開示の原理によるシステムおよび方法は、基板処理ツールの設置面積を低減するように構成された改質エアロックを実装する。いくつかの例では、EFEMは、エアロックが少なくとも部分的にEFEM内に位置するようにする構成を有する搬送ロボットを備えてよい。
図2A、図2B、図2C、および図2Dは、本開示の原理による、第1の基板処理ツール200−1、第2の基板処理ツール200−2、および第3の基板処理ツール200−3(総称して、基板処理ツール200)の構成例の平面図を示す。各処理ツール200は、ロードロック208の少なくとも一部を収容するように構成された改良装置フロントエンドモジュール(EFEM)204を備える。つまり、ロードロック208は、EFEM204と真空搬送モジュール(VTM)212との間の隙間でEFEM204の外側に位置するのではなく、EFEM204の内部に延びる。例えば、ロードロック208の全外部長さまたは全容積の少なくとも約50%(例えば、45%〜55%)は、EFEM204内に位置してよい。いくつかの例では、ロードロック208の全外部長さまたは全容積の少なくとも約70%(例えば、65%〜75%)は、EFEM204内に位置してよい。他の例では、ロードロック208の全外部長さまたは全容積の少なくとも約30%(例えば、25%〜35%)は、EFEM204内に位置してよい。それに応じて、EFEM204は、VTM212により近接して設置され、全体の設置面積を低減して、ツール200のピッチを増加させる。例えば、本開示によるEFEM204の搬送ロボット216は、ロードロック208がEFEM204の内部に延びる空間を提供するために、EFEM204の後壁224(例えば、第2の側)よりも前壁(例えば、第1の側)のローディングステーション220に近接して配置される。EFEM204および搬送ロボット216は、以下に図3においてより詳細に説明される。いくつかの例では、ロードロック208は、図2Dにおける別の配置のツール200−3に示されるように構成されてよい。例えのみでは、ローディングステーション220は、密閉型搬送容器(FOUP)に相当してよい。
図のように、ツール200は、6つの処理モジュール228を備える。しかし、ツール200の他の構成は、6つ以上の処理モジュール228を備えてよい。例えば、VTM212の長さは、追加の処理モジュール228を収容するために延伸されてよい。同様に、VTM212は、様々な構成を有する真空搬送ロボット232を備えてよい。例えば、ツール200−1は、3つの真空搬送ロボット232を有し、ツール200−2は、2つの真空搬送ロボット232を備える。ツール200−1およびツール200−3において、ロボット232は、VTM212の中央長手方向軸と一直線になっている。反対に、ツール200−3は、VTM212の中央長手方向軸に対して中心を外れて(すなわち、処理モジュール228側に右または左にずらして)配置された1つの真空搬送ロボット232を備える。つまり、ロボット232の第1回転軸は、中心を外れている。ロボット216およびロボット232は、1つまたは2つのアームを有することが示されているが、各々、1つ、2つ、またはそれ以上のアームを備える構成を有してよい。いくつかの例では、ロボット232は、図2Cおよび図2Dに示すように、各アームに2つのエンドエフェクタ234を備えてよい。
基板処理ツール200は、処理段階の間に1つ以上の基板を格納するように構成された1つ以上の格納バッファ236を備えてよい。いくつかの例では、格納バッファ240は、VTM212内に位置してよい。いくつかの例では、1つ以上の格納バッファ236は、処理モジュールまたは他の構成要素と置き換えられてよい。
いくつかの例では、EFEM204、ロードロック208、VTM212、および処理モジュール228のうちの1つ以上は、以下により詳細に説明される積み重ねられた構造を有してよい。例えば、各処理モジュール228は、垂直に積み重ねられた構造の2つの処理モジュール228(すなわち、1つの処理モジュール228がもう一方の上方/下方に配置された状態)に相当し、VTM212は、垂直に積み重ねられた構造の2つのVTM212に相当し、各ロードロック208は、垂直に積み重ねられた構造の2つのロードロック208に相当し、各ローディングステーション220は、垂直に積み重ねられた構造の2つのローディングステーション220に相当してよい。EFEM204の高さは、ロボット216がローディングステーション220およびロードロック208の複数のレベルにアクセスするためにEFEM204内の異なるレベルに昇降できるように高くされてよい。
図3A、図3B、図3C、および図3Dは、本開示の原理によるEFEM300および搬送ロボットアセンブリ304の例を示す。アセンブリ304は、EFEM300内の1つ以上の縦レール308に取り付けられ、次に横レール312に取り付けられてよい。アセンブリ304は、縦レール308で垂直のZ方向に昇降するように構成されている。例えば、アセンブリ304は、縦レール308のスロット316に取り付けられてよい。反対に、アセンブリ304は、横レール312に沿って縦レール308に対して水平のX方向にスライドするように構成されている。このように、アセンブリ304の位置は、異なる高さ(すなわち、レベル)でロードロック320およびローディングステーション324へのアクセスを提供するために、Z方向およびX方向に調節されてよい。
一例では、搬送ロボットアセンブリ304は、2つのアーム328を備え、各々、アームセグメント332およびエンドエフェクタ336を備える。例えのみでは、エンドエフェクタ336は、アームセグメント332よりも長くてよい。一例では、エンドエフェクタ336の長さL2は、アームセグメント332の長さL1の2倍である(例えば、L2=〜2*L1)。エンドエフェクタ336の長さL2は、エンドエフェクタ336の基板支持体端の略中央と、エンドエフェクタ336の回転軸(すなわち、アームセグメント332に対するエンドエフェクタ336の回転軸)との間の距離に相当する。アームセグメント332の長さL1は、アームセグメント332の回転軸(すなわち、アームセグメント332に対するエンドエフェクタ336の回転軸)と、搬送ロボットアセンブリ304の基体に対するアームセグメント332の回転軸との間の距離に相当する。アームセグメント332の長さL1よりもエンドエフェクタ336の長さL2の方が長いと、アームセグメント332も同様にロードロック320に入ることを必要とせずに、エンドエフェクタ336がロードロック320にアクセスできる。
アセンブリ304は、図のように折りたたまれた状態にあるときは、EFEM300に対して(例えば、搬送されている基板の寸法に応じて)比較的狭い形状を有する。それに応じて、EFEMは、ロードロック320の少なくとも一部を収容するように構成されている。アセンブリ304は、統合基板アライナ340を備えてよい。この例では、搬送ロボットアセンブリ304が図3Cに示す折りたたまれた状態にあるときは、エンドエフェクタ336の長さL2がより長いと、エンドエフェクタ336が基板アライナ340の上に位置することができる。例えば、アームセグメント332およびエンドエフェクタ336の相対長さは、アームセグメント332、エンドエフェクタ336、および基板アライナ340が線344で一直線になる(すなわち、線344と同軸)、比較的線状の折りたたまれた状態を可能にする。
各アーム328は、縦レール308のそれぞれのスロット316に取り付けられてよい。例えば、縦レール308は、互いに独立して動いてよい。つまり、図3A、図3B、および図3Cでは縦レール308がコンパクトな配置で示されているが(すなわち、縦レール308が互いに比較的近接している)、レール308の1つは、図3Dに示すように、レール308の他方に対向するEFEM300の端に移動してよい。このように、それぞれのアーム328は、異なるローディングステーション324および/またはロードロック320に同時にアクセスするように構成されている。他の例では、EFEM300は、1つの縦レール308のみ、および、それぞれのアーム328を備えてよい。
いくつかの例では、搬送ロボットアセンブリ304の構成によって実現されたEFEM300内の追加的空間は、追加の基板処理構成要素および搬送システム構成要素をEFEM300内に設置できるようにしてよい。例えば、計測ステーション、格納バッファ、ノッチ整列ステーション、エッジリング収納庫などを含むがそれらに限定されない構成要素は、EFEM300内に位置してよい。一例では、搬送ロボットアセンブリ304は、折りたたまれた状態にあるときは、EFEM300の全深さの50%未満を占める。
図4Aは、二重に垂直に積み重ねられた構成の基板処理ツール400の例の側面図を示す。基板処理ツール400は、上記図3A〜図3Cに記載の搬送ロボットアセンブリ408を収容するために延伸した高さを有するEFEM404を備える。搬送ロボットアセンブリ408は、水平に積み重ねられたローディングステーション420およびロードロック424にアクセスするために、縦レール412および横レール416で昇降されるように構成されている。ロードロック424は、少なくとも部分的にEFEM404内に位置する。
ツール400は、垂直に積み重ねられたVTM428を備える。各VTM428は、1つ以上の真空搬送ロボット432を備える。真空搬送ロボット432は、ロードロック424と垂直に積み重ねられた処理モジュール436との間で基板を搬送するように構成されている。
図4Bは、EFEM404内に位置するロードロック424の一例の平面図を示す。図のように、ロードロック424の全外部長さ(例えば、第1の外壁440から第2の外壁444の長さL)の70%より多くがEFEM404内に位置している。基板は、EFEM404の内部に位置するポート448を介して、(例えば、搬送ロボットアセンブリ408を用いて)EFEM404からロードロック424に搬送される。逆に、基板は、ポート452を介してロードロック424からVTM428に搬送される。図のように、ロードロック424は、2つのローディングステーション456、2つのポート448、および2つのポート452を備える。
バルブ460およびポンプ464は、ポンプダウンし、真空でロードロック424を維持し、ロードロック424をパージするなどのように動作してよい。いくつかの例では、バルブ460は、EFEM404の外面でロードロック424と接続する。他の例では、バルブ460は、EFEM404内の表面でロードロック424と接続する。
図5A、図5B、図5Cは、EFEM500および搬送ロボットアセンブリ504の別の例を示す。例えば、EFEM500および搬送ロボットアセンブリ504は、図2A〜図2Dの基板処理ツール200のいずれかに実装されてよい。アセンブリ504は、EFEM500の前端領域内(すなわち、ローディングステーション側)に取り付けられてよい。例えば、アセンブリ504は、EFEM500の前端領域に配置された取り付けシャーシ508に結合されてよい。アセンブリ504は、搬送ロボットプラットフォーム512を垂直のZ方向に昇降し、プラットフォーム512の横方向位置を水平のX方向に調節するように構成されている。このように、アセンブリプラットフォーム512の位置は、異なる高さ(すなわち、レベル)でのロードロック516およびローディングステーション520へのアクセスを提供するために、Z方向およびX方向に調節されてよい。
一例では、搬送ロボットアセンブリ504は、プラットフォーム512の位置を調節するために回転軸530および回転軸532(対応するモータを備えてよい)の周りで機能するように構成された2つのロボット配置アーム524およびロボット配置アーム528を備える。プラットフォーム512は、搬送ロボット536を支持する。搬送ロボット536は、アームセグメント540およびエンドエフェクタ544を含むアームを備える。アセンブリ504および搬送ロボット536は、図のように折りたたまれた状態にあるときは、EFEM500に対して(例えば、搬送されている基板の寸法に応じて)比較的狭い形状を有する。それに応じて、EFEM500は、図3A〜図3DのEFEM300に類似する方法で、ロードロック516の少なくとも一部を収容するように構成されている。いくつかの例では、プラットフォーム512は、統合基板アライナ548を備えてよい。この例では、搬送ロボット536が図5A、図5B、および図5Cに示す折りたたまれた状態にあるときは、エンドエフェクタ544は、基板アライナ548の上に位置する。搬送ロボット536は、アームセグメント540、エンドエフェクタ544、および基板アライナ548が線552で一直線になる(例えば、線552と同軸)比較的線状の折りたたまれた状態を有する。
いくつかの例では、搬送ロボットアセンブリ504の構成によって実現されたEFEM500内の追加的空間は、追加の基板処理構成要素および搬送システム構成要素をEFEM500内に位置するようにしてよい。例えば、計測ステーション、格納バッファ、ノッチ整列ステーション、エッジリング収納庫などを含むがそれらに限定されない構成要素は、EFEM500内に位置してよい。一例では、搬送ロボットアセンブリ504は、折りたたまれた状態にあるときは、EFEM500の全深さの50%未満を占める。
図3A〜図3Dおよび図5A〜図5Cは、垂直に積み重ねられたローディングステーションおよびロードロックにアクセスするように配置されたEFEM300およびEFEM500を示すが、他の例では、EFEM300およびEFEM500は、垂直に積み重ねられた構成を備えない基板処理ツールに実装されてよい。例えば、いくつかの基板処理ツールは、ローディングステーション、ロードロック、および/または、処理モジュールを備えてよく、それらは、ツール上/内のより高くに配置され、ローディングステーション、ロードロック、および/または、処理モジュールなどより高く配置されたアクセススロットを有する。
図6A、図6B、および図6Cは、本開示の原理による別の基板処理ツール600の構成例の平面図を示す。処理ツール600は、1つ以上のロードロック608の少なくとも一部を収容するように構成された改良装置フロントエンドモジュール(EFEM)604を備える。つまり、ロードロック608は、EFEM604と真空搬送モジュール(VTM)612との間の隙間でEFEM604の完全な外側に位置するのではなく、EFEM604の内部に延びる。その結果、EFEM604は、全体の設置面積を低減し、複数のツール600のピッチを増加させながら、VTM612により近接して位置しうる。EFEM604は、例えば、図3A〜図3Dに記載の搬送ロボットアセンブリ304、図5A〜図5Cに記載の搬送ロボットアセンブリ504などを備えるように構成されてよい。
図のように、ツール600は、10の処理モジュール616を備える。例えば、VTM612の長さは、追加の処理モジュール616を収容するために延伸されてよい。同様に、VTM612は、様々な構成を有する1つ以上の真空搬送ロボット620(例えば、搬送ロボット620−1、搬送ロボット620−2、搬送ロボット620−3、搬送ロボット620−4、および搬送ロボット620−5)を備えてよい。図のように、搬送ロボット620は、各構成に3つのアームセグメント628および1つのエンドエフェクタ632を有する1つのアーム624を備える。他の構成では、搬送ロボット620は、1つ、2つ、またはそれ以上のアーム624を備えてよい。いくつかの例では、ロボット620は、各アーム624に2つのエンドエフェクタ632を備えてよい。
図6Aに示すように、ツール600は、VTM612の中央長手方向軸に対して中心を外れて(すなわち、処理モジュール616側に右または左にずらして)配置された1つの真空搬送ロボット620−1を備える。つまり、ロボット620−1の第1回転軸は、中心を外れている。ロボット620−1は、10の処理モジュール616の各々およびロードロック608にアクセスするように位置し構成されている。ツール600が格納バッファ636および/または格納バッファ640を備える構成では、ロボット620−1は、格納バッファ636/640にもアクセスするように構成されている。
図6Bおよび図6Cに示すように、ツール600は、それぞれVTM612の中央長手方向軸に対して中心を外れて(すなわち、処理モジュール616側に右または左にずらして)配置された、2つの真空搬送ロボット620−2および真空搬送ロボット620−3、または、真空搬送ロボット620−4および真空搬送ロボット620−5を備える。ロボット620−2およびロボット620−4は、10の処理モジュール616のうちの選択されたものおよびロードロック608にアクセスするように位置し構成されている。反対に、ロボット620−3およびロボット620−5は、10の処理モジュール616のうちのその他のものにアクセスするように位置し構成されている。ツール600が格納バッファ636および/または格納バッファ640を備える構成では、ロボット620−3およびロボット620−5は、格納バッファ636にもアクセスするように構成されているが、図6Bのロボット620−2およびロボット620−3の両方、ならびに、図6Aのロボット620−4およびロボット620−5の両方は、格納バッファ640にアクセスするように構成されている。
例えば、図6Bに示すように、ロボット620−2は、処理モジュール616の1つと一直線になっている(例えば、処理モジュール616の1つの水平軸を中心としている)が、ロボット620−3は、処理モジュール616の隣接するもの同士の間を中心として配置されている。反対に、図6Cに示すように、ロボット620−4およびロボット620−5の各々は、各自の処理モジュール616と一直線になっている。
前述は、本質的に例示のみであり、本開示、その適用、または使用を限定する意図はない。本開示の広義の教示は、様々な形式で実施されうる。そのため、本開示は特定の例を含むが、図面、明細書、および以下の特許請求の範囲を検討すれば他の変更例が明らかになるため、本開示の真の範囲は、それほど限定されるものではない。方法の1つ以上の工程は、本開示の原理を変更することなく異なる順序で(または、同時に)実行されてよいことを理解されたい。さらに、各実施形態は、特定の特徴を有するように上述されているが、本開示のいずれかの実施形態に関して記載された1つ以上のこれらの特徴は、他の実施形態において、および/または、他の実施形態の特徴と組み合わせて(その組み合わせが明記されていないとしても)実施されうる。つまり、記載の実施形態は相互に排他的でなく、1つ以上の実施形態の互いの並べ替えは、本開示の範囲内に留まる。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的関係および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「隣り合う」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。「直接」と明記されない限り、第1の要素と第2の要素との間の関係が上記開示で説明されるときは、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係でありうるが、第1の要素と第2の要素との間に1つ以上の介在要素が(空間的または機能的に)存在する間接的な関係でもありうる。本明細書では、A、B、およびCのうちの少なくとも1つとの表現は、非排他的な論理ORを用いるロジック(AまたはBまたはC)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上述の例の一部でありうるシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えうる。これらのシステムは、半導体基板または基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な構成要素または副部品を制御しうる「コントローラ」と呼ばれてよい。コントローラは、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置動作設定、ツールおよび他の搬送ツール、および/または、特定のシステムに接続(connected)または接続(interfaced with)されたロードロックに対するウエハ搬送を含む、本明細書に開示のプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、基板ダイの製作中における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、「クラウド」内にあってよい、または、基板処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の進捗状況を監視し、過去の製作動作の経歴を調査し、複数の製作動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されるツールの種類に固有であってよいことを理解されたい。そのため、上述のように、コントローラは、例えば、互いにネットワーク接続される1つ以上の個別のコントローラを含むことや、本明細書に記載のプロセスや制御などの共通の目的に向かって協働することによって分散されてよい。そのような目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体基板の製作および/もしくは製造において関連もしくは使用しうるその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対して基板容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対して基板容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
基板処理ツールのための大気圧−真空(ATV)搬送モジュールであって、
少なくとも1つのローディングステーションと接続するように構成された第1の側と、
前記ATV搬送モジュール内に配置された搬送ロボットアセンブリであって、前記少なくとも1つのローディングステーションと、前記ATV搬送モジュールと真空搬送モジュール(VTM)との間に配置された少なくとも1つのロードロックとの間で基板を搬送するように構成されている搬送ロボットアセンブリと、
前記第1の側に対向する、前記少なくとも1つのロードロックと接続するように構成された第2の側であって、前記搬送ロボットアセンブリは、前記第2の側に隣接して配置され、前記少なくとも1つのロードロックは、前記第2の側を通って前記ATV搬送モジュールの内部に延びる、第2の側と、
を備える、ATV搬送モジュール。
適用例2:
適用例1のATV搬送モジュールであって、
前記少なくとも1つのロードロックの少なくとも約30%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
適用例3:
適用例1のATV搬送モジュールであって、
前記少なくとも1つのロードロックの少なくとも約50%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
適用例4:
適用例1のATV搬送モジュールであって、
前記少なくとも1つのロードロックの少なくとも約70%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
適用例5:
適用例1のATV搬送モジュールであって、
前記ATV搬送モジュールは、装置フロントエンドモジュール(EFEM)に相当する、ATV搬送モジュール。
適用例6:
適用例1のATV搬送モジュールであって、
前記少なくとも1つのロードロックは、第1のロードロックと、前記第1のロードロックの上方に配置された第2のロードロックとを備える、ATV搬送モジュール。
適用例7:
適用例6のATV搬送モジュールであって、
前記少なくとも1つのローディングステーションは、第1のローディングステーションと、前記第1のローディングステーションの上方に配置された第2のローディングステーションとを備える、ATV搬送モジュール。
適用例8:
適用例6のATV搬送モジュールであって、
前記搬送ロボットアセンブリは、前記第1のロードロックおよび前記第2のロードロックにアクセスするように構成されている、ATV搬送モジュール。
適用例9:
適用例1のATV搬送モジュールであって、さらに、
横レールと、前記横レールに取り付けられた縦レールとを備え、
前記搬送ロボットアセンブリは、前記縦レールに取り付けられ、前記縦レールで垂直方向に昇降するように構成され、前記縦レールは、前記横レールで水平方向にスライドするように構成されている、ATV搬送モジュール。
適用例10:
適用例9のATV搬送モジュールであって、
前記搬送ロボットアセンブリは、2つのアームを備え、前記アームの各々は、アームセグメントおよびエンドエフェクタを備え、前記エンドエフェクタの長さは、前記アームセグメントの長さよりも長い、ATV搬送モジュール。
適用例11:
適用例10のATV搬送モジュールであって、
前記エンドエフェクタの前記長さは、前記アームセグメントの前記長さの2倍である、ATV搬送モジュール。
適用例12:
適用例10のATV搬送モジュールであって、
前記搬送ロボットアセンブリが折りたたまれた状態にあるときは、前記アームセグメントおよび前記エンドエフェクタは、同軸である、ATV搬送モジュール。
適用例13:
適用例1のATV搬送モジュールであって、
前記搬送ロボットアセンブリは、搬送ロボットを支持するように構成された搬送ロボットプラットフォームを備え、前記搬送ロボットアセンブリは、(i)前記搬送ロボットプラットフォームの位置を垂直方向に調節するために前記搬送ロボットプラットフォームを昇降させ、(ii)前記搬送ロボットプラットフォームの前記位置を水平方向に調節するように構成されている、ATV搬送モジュール。
適用例14:
適用例13のATV搬送モジュールであって、
前記搬送ロボットアセンブリは、前記搬送ロボットプラットフォームの前記位置を調節するように構成された第1のロボット配置アームおよび第2のロボット配置アームを備える、ATV搬送モジュール。
適用例15:
適用例13のATV搬送モジュールであって、
前記搬送ロボットは、(i)アームセグメントおよび(ii)エンドエフェクタを有するアームを備える、ATV搬送モジュール。
適用例16:
基板処理ツールであって、
適用例1のATV搬送モジュールを備え、
さらに、前記VTMを備える、基板処理ツール。
適用例17:
適用例16の基板処理ツールであって、
前記VTMは、複数の処理モジュールを備え、前記複数の処理モジュールは、前記VTMの第1の側に配置された少なくとも3つの処理モジュールと、前記第1の側に対向する前記VTMの第2の側に配置された少なくとも3つの処理モジュールとを含む、基板処理ツール。
適用例18:
適用例17の基板処理ツールであって、
前記複数の処理モジュールは、垂直に積み重ねられた構成の処理モジュールを含む、基板処理ツール。

Claims (18)

  1. 基板処理ツールのための大気圧−真空(ATV)搬送モジュールであって、
    少なくとも1つのローディングステーションと接続するように構成された第1の側と、
    前記ATV搬送モジュール内に配置された搬送ロボットアセンブリであって、前記少なくとも1つのローディングステーションと、前記ATV搬送モジュールと真空搬送モジュール(VTM)との間に配置された少なくとも1つのロードロックとの間で基板を搬送するように構成されている搬送ロボットアセンブリと、
    前記第1の側に対向する、前記少なくとも1つのロードロックと接続するように構成された第2の側であって、前記搬送ロボットアセンブリは、前記第2の側に隣接して配置され、前記少なくとも1つのロードロックは、前記第2の側を通って前記ATV搬送モジュールの内部に延びる、第2の側と、
    を備える、ATV搬送モジュール。
  2. 請求項1に記載のATV搬送モジュールであって、
    前記少なくとも1つのロードロックの少なくとも約30%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
  3. 請求項1に記載のATV搬送モジュールであって、
    前記少なくとも1つのロードロックの少なくとも約50%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
  4. 請求項1に記載のATV搬送モジュールであって、
    前記少なくとも1つのロードロックの少なくとも約70%は、前記ATV搬送モジュールの前記内部に位置する、ATV搬送モジュール。
  5. 請求項1に記載のATV搬送モジュールであって、
    前記ATV搬送モジュールは、装置フロントエンドモジュール(EFEM)に相当する、ATV搬送モジュール。
  6. 請求項1に記載のATV搬送モジュールであって、
    前記少なくとも1つのロードロックは、第1のロードロックと、前記第1のロードロックの上方に配置された第2のロードロックとを備える、ATV搬送モジュール。
  7. 請求項6に記載のATV搬送モジュールであって、
    前記少なくとも1つのローディングステーションは、第1のローディングステーションと、前記第1のローディングステーションの上方に配置された第2のローディングステーションとを備える、ATV搬送モジュール。
  8. 請求項6に記載のATV搬送モジュールであって、
    前記搬送ロボットアセンブリは、前記第1のロードロックおよび前記第2のロードロックにアクセスするように構成されている、ATV搬送モジュール。
  9. 請求項1に記載のATV搬送モジュールであって、さらに、
    横レールと、前記横レールに取り付けられた縦レールとを備え、
    前記搬送ロボットアセンブリは、前記縦レールに取り付けられ、前記縦レールで垂直方向に昇降するように構成され、前記縦レールは、前記横レールで水平方向にスライドするように構成されている、ATV搬送モジュール。
  10. 請求項9に記載のATV搬送モジュールであって、
    前記搬送ロボットアセンブリは、2つのアームを備え、前記アームの各々は、アームセグメントおよびエンドエフェクタを備え、前記エンドエフェクタの長さは、前記アームセグメントの長さよりも長い、ATV搬送モジュール。
  11. 請求項10に記載のATV搬送モジュールであって、
    前記エンドエフェクタの前記長さは、前記アームセグメントの前記長さの2倍である、ATV搬送モジュール。
  12. 請求項10に記載のATV搬送モジュールであって、
    前記搬送ロボットアセンブリが折りたたまれた状態にあるときは、前記アームセグメントおよび前記エンドエフェクタは、同軸である、ATV搬送モジュール。
  13. 請求項1に記載のATV搬送モジュールであって、
    前記搬送ロボットアセンブリは、搬送ロボットを支持するように構成された搬送ロボットプラットフォームを備え、前記搬送ロボットアセンブリは、(i)前記搬送ロボットプラットフォームの位置を垂直方向に調節するために前記搬送ロボットプラットフォームを昇降させ、(ii)前記搬送ロボットプラットフォームの前記位置を水平方向に調節するように構成されている、ATV搬送モジュール。
  14. 請求項13に記載のATV搬送モジュールであって、
    前記搬送ロボットアセンブリは、前記搬送ロボットプラットフォームの前記位置を調節するように構成された第1のロボット配置アームおよび第2のロボット配置アームを備える、ATV搬送モジュール。
  15. 請求項13に記載のATV搬送モジュールであって、
    前記搬送ロボットは、(i)アームセグメントおよび(ii)エンドエフェクタを有するアームを備える、ATV搬送モジュール。
  16. 基板処理ツールであって、
    請求項1に記載のATV搬送モジュールを備え、
    さらに、前記VTMを備える、基板処理ツール。
  17. 請求項16に記載の基板処理ツールであって、
    前記VTMは、複数の処理モジュールを備え、前記複数の処理モジュールは、前記VTMの第1の側に配置された少なくとも3つの処理モジュールと、前記第1の側に対向する前記VTMの第2の側に配置された少なくとも3つの処理モジュールとを含む、基板処理ツール。
  18. 請求項17に記載の基板処理ツールであって、
    前記複数の処理モジュールは、垂直に積み重ねられた構成の処理モジュールを含む、基板処理ツール。
JP2019548638A 2017-03-15 2018-03-14 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ Active JP7275039B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023076062A JP2023099172A (ja) 2017-03-15 2023-05-02 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762471478P 2017-03-15 2017-03-15
US62/471,478 2017-03-15
PCT/US2018/022397 WO2018170104A1 (en) 2017-03-15 2018-03-14 Reduced footprint platform architecture with linear vacuum transfer module

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023076062A Division JP2023099172A (ja) 2017-03-15 2023-05-02 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ

Publications (4)

Publication Number Publication Date
JP2020510310A true JP2020510310A (ja) 2020-04-02
JP2020510310A5 JP2020510310A5 (ja) 2021-04-15
JPWO2018170104A5 JPWO2018170104A5 (ja) 2023-01-27
JP7275039B2 JP7275039B2 (ja) 2023-05-17

Family

ID=63523643

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019548638A Active JP7275039B2 (ja) 2017-03-15 2018-03-14 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
JP2023076062A Pending JP2023099172A (ja) 2017-03-15 2023-05-02 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023076062A Pending JP2023099172A (ja) 2017-03-15 2023-05-02 リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ

Country Status (8)

Country Link
US (3) US11521869B2 (ja)
EP (1) EP3596752A4 (ja)
JP (2) JP7275039B2 (ja)
KR (2) KR20230131969A (ja)
CN (1) CN110447095B (ja)
SG (2) SG10202110040SA (ja)
TW (2) TWI765984B (ja)
WO (1) WO2018170104A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230067548A (ko) 2021-11-09 2023-05-16 도쿄엘렉트론가부시키가이샤 기판 처리 시스템

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
CN111081619B (zh) * 2019-12-27 2022-11-25 上海至纯洁净系统科技股份有限公司 一种晶圆片传输装置以及方法
JP2023520600A (ja) * 2020-04-06 2023-05-17 ラム リサーチ コーポレーション 基板処理システムのプロセスモジュールバイアスアセンブリ用の摺動・枢動アセンブリ
CN113644005A (zh) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 一种半导体处理系统
US20240170309A1 (en) * 2021-04-28 2024-05-23 Lam Research Corporation Semiconductor tool arrangements
WO2023086848A1 (en) * 2021-11-11 2023-05-19 Lam Research Corporation Nesting atmospheric robot arms for high throughput
WO2023205361A1 (en) * 2022-04-22 2023-10-26 Lam Research Corporation Shallow-depth equipment front end module with robot

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002518838A (ja) * 1998-06-17 2002-06-25 ジェンマーク・オートメーション・インコーポレーテッド 超クリーン保管容器の自動開閉装置
JP2004265947A (ja) * 2003-02-24 2004-09-24 Tokyo Electron Ltd 搬送装置及び真空処理装置並びに常圧搬送装置
JP2005534176A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド 基板処理装置
JP2007533167A (ja) * 2004-04-16 2007-11-15 アクセリス テクノロジーズ インコーポレーテッド ワークピース処理システム
US20150179488A1 (en) * 2013-12-23 2015-06-25 Lam Research Corporation Robot with integrated aligner
US20150311102A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
JP2016540374A (ja) * 2013-10-18 2016-12-22 ブルックス オートメーション インコーポレイテッド 処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
JP4558981B2 (ja) 2000-11-14 2010-10-06 株式会社ダイヘン トランスファロボット
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
KR101413762B1 (ko) 2007-08-22 2014-07-01 위순임 기판 처리 시스템
CN101383311B (zh) * 2007-09-04 2010-12-08 北京北方微电子基地设备工艺研究中心有限责任公司 晶片传输系统
CN101855717B (zh) * 2007-11-09 2011-10-19 佳能安内华股份有限公司 在线型晶圆输送装置
KR100998663B1 (ko) 2010-05-24 2010-12-07 지이에스(주) 로드락챔버 진공형성장치
JP5387622B2 (ja) * 2011-06-17 2014-01-15 株式会社安川電機 搬送ロボット
KR102578140B1 (ko) 2011-09-16 2023-09-14 퍼시몬 테크놀로지스 코포레이션 로봇 구동부 및 무선 데이터 커플링
TW201347936A (zh) * 2012-01-13 2013-12-01 Novellus Systems Inc 雙臂真空機器人
JP5810929B2 (ja) 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
TWI629743B (zh) 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US9213565B2 (en) 2013-06-28 2015-12-15 Vmware, Inc. Methods and systems for mining datacenter telemetry data
CN105378907A (zh) 2013-07-24 2016-03-02 应用材料公司 钴基板处理系统、设备及方法
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US10347516B2 (en) 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
KR102417929B1 (ko) 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6710518B2 (ja) * 2015-12-03 2020-06-17 東京エレクトロン株式会社 搬送装置及び補正方法
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002518838A (ja) * 1998-06-17 2002-06-25 ジェンマーク・オートメーション・インコーポレーテッド 超クリーン保管容器の自動開閉装置
JP2005534176A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド 基板処理装置
JP2004265947A (ja) * 2003-02-24 2004-09-24 Tokyo Electron Ltd 搬送装置及び真空処理装置並びに常圧搬送装置
JP2007533167A (ja) * 2004-04-16 2007-11-15 アクセリス テクノロジーズ インコーポレーテッド ワークピース処理システム
JP2016540374A (ja) * 2013-10-18 2016-12-22 ブルックス オートメーション インコーポレイテッド 処理装置
US20150179488A1 (en) * 2013-12-23 2015-06-25 Lam Research Corporation Robot with integrated aligner
US20150311102A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230067548A (ko) 2021-11-09 2023-05-16 도쿄엘렉트론가부시키가이샤 기판 처리 시스템

Also Published As

Publication number Publication date
KR20190120834A (ko) 2019-10-24
US20200083071A1 (en) 2020-03-12
KR20230131969A (ko) 2023-09-14
JP7275039B2 (ja) 2023-05-17
EP3596752A4 (en) 2021-01-06
US11908714B2 (en) 2024-02-20
KR102577199B1 (ko) 2023-09-08
TWI793000B (zh) 2023-02-11
SG11201908188SA (en) 2019-10-30
TW202232632A (zh) 2022-08-16
JP2023099172A (ja) 2023-07-11
US20230062737A1 (en) 2023-03-02
CN110447095A (zh) 2019-11-12
SG10202110040SA (en) 2021-10-28
WO2018170104A1 (en) 2018-09-20
CN110447095B (zh) 2024-04-26
US20240194505A1 (en) 2024-06-13
TWI765984B (zh) 2022-06-01
TW201901835A (zh) 2019-01-01
EP3596752A1 (en) 2020-01-22
US11521869B2 (en) 2022-12-06

Similar Documents

Publication Publication Date Title
JP7275039B2 (ja) リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
JP7440592B2 (ja) 最適化された低エネルギ/高生産性の蒸着システム
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
CN107731710B (zh) 用于衬底处理系统的装载站和衬底处理工具
US20230085987A1 (en) Linear arrangement for substrate processing tools

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210301

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20230117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230502

R150 Certificate of patent or registration of utility model

Ref document number: 7275039

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150