JP2007533167A - ワークピース処理システム - Google Patents

ワークピース処理システム Download PDF

Info

Publication number
JP2007533167A
JP2007533167A JP2007508638A JP2007508638A JP2007533167A JP 2007533167 A JP2007533167 A JP 2007533167A JP 2007508638 A JP2007508638 A JP 2007508638A JP 2007508638 A JP2007508638 A JP 2007508638A JP 2007533167 A JP2007533167 A JP 2007533167A
Authority
JP
Japan
Prior art keywords
workpiece
load lock
wafer
robot
low pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007508638A
Other languages
English (en)
Other versions
JP2007533167A5 (ja
Inventor
フェラーラ ジョセフ
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2007533167A publication Critical patent/JP2007533167A/ja
Publication of JP2007533167A5 publication Critical patent/JP2007533167A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

シリコンウエハにイオン注入するための、イオン注入機のような低圧又は真空圧でワークピースを処理するためのツールを使用する移送システム。筐体は、低圧領域内のワークピース処理ステーションに置かれたワークピースを処理する低圧領域を定める。二段の、複数のワークピース分離ロードロックは、ワークピースを高圧領域から処理するための低圧領域へ移送し、上記処理後、高圧領域へ戻す。第1のロボットは、低圧領域内のワークピースを、ロードロックから低圧領域内の処理ステーションへ移送する。低圧領域の外側に位置する複数の他のロボットは、ワークピースを処理前に上記ワークピース源から、二段のワークピース分離ロードロックと往復移送し、上記処理後、ワークピースの行き先へ移送する。

Description

本発明は、低圧あるいは真空圧でワークピース(加工中の製品)を処理する装置(ツール)の排気領域へ、ワークピースを往復移動させるためのシステムに関する。
本発明の譲受人であるアクセリステクノロジーは、集積回路製造中、シリコーンウエハを処理する製品を設計し、販売している。そのような製品あるいは装置の一つが、MC−3の表示で販売されている。この装置は、イオンビーム中に置かれるウエハの物理的特性を変化させるイオンビームを創り出す。このプロセス(過程)は、例えば、未処理ウエハから半導体材料が製造されるように、シリコーンをドープするために使用することができる。ウエハ内の異なるドーパントパターンの階層化ばかりでなく、イオン注入前にレジスト材料でのマスキングの制御は、無数の適用の一つとして集積回路の製造に使用される。
種々の他の装置が、集積回路製造中に使用されている。これらの装置は、ウエハをアニールするため、制御された条件下でウエハの急速な熱的処理を含んでいる。他の装置は、ウエハ上に制御されたパターンでフォトレジストを適用するために使用される。装置は、アッシング工程でウエハからフォトレジスト材料を取り除くために使用される。他の装置は、処理されたウエハを個々の集積回路に分けるために使用される。
モデルMC−3注入機のようなイオンビーム注入機のイオン注入室は、減圧下に維持される。ビームラインに沿っての加速後、ビーム内のイオンは注入室に入り、ウエハに衝突する。イオン注入室内にウエハを配置するために、ウエハは、コンベヤシステムあるいは他の送出手段によって、注入機へ配送されるカセットあるいは貯蔵装置から、ロードロックへロボットによって移動される。
シエラツキ(Sieradzki)に付与された米国特許第5,486,080号は、真空処理でのワークピースの高速度移動のためのシステムに関する。このシステムは、二つのロードロックから処理ステーションを通って、ウエハを移動させるための二つのウエハ移送ロボットを使用している。連続するエンドステーションに関する付加的な特許は、米国特許第6,350,097号、第6,555,825号、及び第5,003,183号である。
本発明に適切に組み込まれた例示的なシステムは、イオン注入機のエンドステーションに関する。イオン注入機は、低圧あるいは真空圧でワークピースを処理する。上記イオン注入機は、ワークピース処理モジュールによって、ワークピースを処理する低圧領域を定める筐体の内外へ、ワークピースを移送するための移送装置を備えている。
二つの隣接するワークピース分離ロードロックが、備えられている。各ロードロックは、ワークピースを大気圧下と往復して移送するために、ロードロック内部へ大気圧と選択的に通じる二つのアクセス開口を含む。上記ロードロックの第3の開口は、処理のために、より低圧のロードロック内にワークピースを移動し、処理後、より高圧に戻す。
第一のロボットは、隣接するワークピース分離ロードロックから、低圧あるいは真空領域内のプロセスモジュールへ、ワークピースを移送する。低圧領域の外側に位置する複数の他のロボットは、処理前にワークピース源から隣接するワークピース分離ロードロックへワークピースを往復移送し、処理後、ワークピースの行き先へ移送する。
本発明のこれら及び他の特徴は、以下の図面とともに記載されている本発明の例示的実施形態の詳細な記述のレビューから理解されるであろう。
図面を参照すると、図1及び3は、低圧あるいは真空圧で、半導体ウエハ112のようなワークピースを処理するためのイオンビーム処理ツールとともに使用するためのエンドステーション110を表している。上記エンドステーションは、高圧領域118(典型的には大気圧)から低圧領域120へワークピース(典型的には半導体ウエハ)を移送し、また、高圧領域118に戻る二つのワークピース分離ロードロック116、117(図3)を含んでいる。示された実施形態において、二つのロードロック116、117は、一方が他方の上に重ねられている。
図1に表されるエンドステーションの一適用では、内部が低圧であるイオン注入室130に入るイオンビームBから、一つの半導体ウエハへ、連続的に一度でイオンを注入する。ロボット132は、二つのロードロック116,117の一つからワークピースを取り去り、また、イオン注入室130へそれらをセットする位置にある。ロボット132は、二つのロードロック116,117のうちのいずれかからウエハを移送し、移送ステーション134でウエハチャックへそれらを供給することができる。移送ステーション134で、ロボット132は、ウエハチャック135上へウエハを置き、ウエハチャック135はワークピースを引き付け、チャックの所定位置でそれを確保する。そのようなウエハチャックは、従来公知の技術である。チャックとワークピースは、イオンビームBを構成するイオンによってワークピースへ注入するための位置へ回転される。チャック135は、約90度の角度(図3に見られるようにウエハあるいはワークピース112を垂直方向に)、回転後、イオン注入室130内でイオンビームBによって、矢印137によって示されるように行ったり来たりしてスキャンされる。
発明の実施形態によれば、イオンビームBは、イオンがイオン注入室130に達する前に、イオンビーム通路に沿って上流へ(図示されない)電極によって左右にスキャンされる。左右にスキャンしないウエハの他の注入手段は、公知である。左右へのスキャニングは、扇形ビームを創る。矢印137によって示されるように、ワークピースの上下のスキャニングと組みあわせたこの形状は、イオンビームと向かい合うワークピースの全体表面を処理する。
イオンビームによるビーム処理後(2,3秒のオーダーでなる)、ウエハチャックは移送ステーションに戻るように回転し、ロボット132はワークピースを回収し、高圧領域118へ戻す移送のため、処理されたワークピースをロードロック116、117の一つへ戻すように移動する。開示された実施形態において、ロボット132はそれぞれが二つのアームを有するアクティブな端部グリップエンドエフェクタを含み、どちらかのエンドエフェクタは、ロードロック内のワークピースをつかむために使用され、ワークピースを移送ステーションへ移動させる時、ロードロック116、117に関して弓形の通路P(図1)内で移動できる。
本発明の実施形態は、集積回路製造設備内で半導体ウエハのイオンビーム処理のためのイオン注入機とともに使用される。図1において、集積回路(IC)製造設備内で、一つのツールから他のツールへシリコンウエハを移動させるために使用される4個の前面開口統合ポッド140−143の概要が、描かれている。ロボットアームが処理用ポッドから1以上のシリコンウエハを引き出すために、(未図示の)オーバーヘッドトランスポートは、二つの大気内(in-air)ロボット146、148の一つの届く距離内で、これら4個のそれぞれをエンドステーション110に関して一つの位置へデポジットしている。大気内ロボットはウエハをつかみ、それを二つのロードロック116、117の一つにウエハを挿入するより前に、適切な方向へウエハを向けるアライナ150に置く。
図3,4及び4Aの側面図は、ウエハをロードロック116,117から低圧領域120へ移動する真空内ロボット132の詳細を説明している。ロボット132は、ロボットハウジング216内で支持されるモータ214,215(図4A)に結合された二つの同心状で、略垂直方向の駆動軸210,211を含む。上記駆動軸は、ハウジング216の頂部で、フェロ流体シール217により支持され、貫通している。内部駆動シャフト210は、中央軸224の周囲で上部支持体222から制御された位置へ径方向に延びるロボットアーム220,221を有する第1エンドエフェクタに、選択的に方向を合わせるモータ215によって回転される。第2下部サポート223は、駆動軸211に結合され、中央軸224の周囲で回転を制御するアーム220a、221aを有する第2エンドエフェクタを支持する。部分断面図2において、シャフト211は、底部あるいは下部ロードロック117内でウエハをつかむことができるように、アーム220a、221aを配置するため回転される。図3及び4で、頂部エンドエフェクタアーム220、221は、移送ステーションでチャック135上にウエハを置く位置に、モータ215の作動を制御して回転されている。
ロボット内の空気圧式アクチュエータ(図示されていない)は、固定アーム221に関して一つの旋回アーム220を旋回させようとし、(図1に描かれたエンドステーション10によってウエハの移動を調整する制御器200によって)、例えば、旋回アーム220と固定アーム221との間で、ウエハをつかむように動作を制御できる。旋回アーム220がウエハ112のようなウエハと接触する時、二つのアームに結合されたコンタクトパッド226は、ウエハの端部に沿って3点でウエハを拘束する。一度、ウエハがアームによってつかまれると、そのエンドエフェクタに結合したモータは、ロボット132の中央回転軸224に関して新しい位置へウエハを回転させることができる。
図4Aに示すように、モータ214、215及び関連する駆動軸210、211は、図4に定められる‘z’方向へ両エンドエフェクタが同時に上下移動することを可能にするキャリッジ228に結合される。これは、キャリッジ228に結合されているボールスクリュウに結合されている出力軸を有するモータ225(図4A)によって達成される。制御器200によるモータ225の通電制御により、キャリッジ228をリニアトラック229上を上下に移動する。駐留あるいは保持位置290(図1)でのz方向に上下するキャリッジ228の制御された移動は、ロボットの二つのエンドエフェクタのどちらも、ロードロックのいずれにも移動することを可能にする。いいかえれば、第1エンドエフェクタのアーム220,221は、ウエハをつかむためロードロック116,117のいずれかに挿入されることができ、第2エンドエフェクタのアーム220a、221aは、また、ロードロック116,117のいずれかに挿入されることができる。それからイオン注入室内の移送ステーションで処理モジュールへウエハを移送するために、ウエハは、もしロードロック116から引き出されるなら、再びz方向内で再配置される。
図4を参照すると、フェロ流体シール217は、キャリッジ228に結合されたベローズ227によってその周辺部を結合されており、ベローズ227は、モータが、アームをz方向に配置して、支持体222,223を上下に移動させるとき、膨張し、また、圧縮される。これらベローズ227は、ウエハが移送ステーションとロードロックの間を往復回転することによって、ベローズの外側の径方向領域が低圧あるいは真空圧に排気されている間に、上記径方向領域を大気圧に維持することを可能にする。
ロードロック116、117各々は、未処理ウエハの挿入のための高圧領域に面し、ロードロックから処理済みウエハを引き出す二つの開口を備えている。頂部ロードロック116は、制御器200によってイオン注入中に、適切な時間、径方向にロードロックの表面に対して押し付けられるシーリングドアによって開閉される二つの開口230、231を含んでいる。同様なやり方で底部ロードロックは、イオン注入中に、適切な時間、径方向にロードロックの表面に対して押し付けられるシーリングドアによって開閉される二つの開口232,233を備えている。
ロードロック中にウエハを置くために、適当なゲートバルブあるいはドアが、その対応する開口、及び二つの大気圧ロボット146,148のうちの一つによってロードロック中に挿入されるウエハから、離れねばならない。側面図4において、ロードロックの開口230,232が図示され、ロボット148のエンドエフェクタ280が頂部ロードロック内の開口230に関して配置されているものが示されている。エンドエフェクタ280は、ロードロックへウエハを挿入し、真空ロボット132のエンドエフェクタによってウエハがつかまれるべき位置に、ウエハを支持する径方向延長アーム241,242,243(図2)を有するスパイダ支持体240上にウエハを置く。ウエハをつかみ、移送ステーションへウエハを移動するために、ロボットアームは、図4に示すように二つのアクセス開口260,262のうち、適当な一つによって回転する。
側面図4に示すように、ロードロックは、ロードロック内へのウエハの移送を監視するために配置されている2個の光学センサ246,247に隣接している2個の透明窓を含む。上記センサは、両方の存在及びスパイダ支持体240上のウエハの配置をチェックし、制御器200に信号を送り返す。
図5は、上下のロードロック116,117と、大気内ロボットによってウエハを挿入し、また、引き出すためのロードロックの開閉構造を説明している。頂部ロードロックは、互いに略90度をなし、空気圧式アクチュエータ268、269に結合されているプレートを含む二つのゲートバルブ266,267を備えている。上記プレートが、そのように角度があるという事実は、ロボット146,148のいずれかが、ロードロックからウエハを集め、あるいはロードロックへウエハを引き渡すことができることを意味している。同様に、低部ロードロックは、関連する空気圧式アクチュエータ272,273に結合された二つのゲートバルブ270,271を備えている。上記バルブの各々は、ロードロックの関連する一つの開口内に座しており、プレートとその関連する開口間で気密結合を確実にするために、プレートの周囲に延びる周囲シールを含む。上記プレートの開口内にウエハが挿入されるようにするために、先ず、その開口から離れてロードロックの外表面に略垂直な方向に移動される。この外側への移動は、プレートに結合された(例えば)ピストン274によって達成される。一旦、プレートがピストンによって開口から十分な間隔を置くと、その関連するアクチュエータは、ロードロックから十分離れたロードロックから経路に沿って横に移動し、その結果、大気内ロボットエンドエフェクタ280は、ウエハをロードロックのアクセス開口内へ挿入し、スパイダ支持体上にウエハを貯留することが可能となる。バルブ271のカバープレートは、図5に描かれた上下の矢印に示されるように下方向に移動する。開口を開閉するためのプレートの移動は、制御器200によって特定のアクチュエータのピストンの作動制御によって達成される。
ロードロックの真空側で、システムはゲートバルブ277,278に結合された頂部及び底部のアクチュエータ275,276を含む。上記バルブとアクチュエータは、バルブハウジング281によって支持されている。(図4、4B参照)。バルブハウジング281は、ロードロック116,117とロボットハウジング216の間に配置されている。ゲートバルブ277,278は、ロボットアームが真空領域の内外へウエハを移動するために回転することによって、
ロードロック内へアクセス開口269、262を開閉するために、z方向に上下に移動する。図4Bに示されるように、ゲートバルブ277は、開口260を規定するゲートバルブハウジング281の表面を封止結合するシール283を入れ替わりに支持するピストンとプレートを備えている。上記ゲートが低圧あるいは真空圧である間、ベローズ279は、ロードロックのアクチュエータ275が大気圧であるようにするために、ゲートバルブのピストンを取り囲む。
大気内ロボット148は、ロボット132の設計とは異なる設計からなる。大気内ロボット148は、エンドエフェクタ280を有し、エンドエフェクタ280は、ロボット148の中心282に関して、それによって支持されるワークピースを、径方向に内外に平行移動させる。これらエンドエフェクタ280は、ロボットの中心282の周囲で、また、旋回することができる。ロードロックへのドアあるいはカバー266が開く時、エンドエフェクタはウエハをロードロックへ移動し、そのウエハをスパイダ支持体240上に貯留する。ロボット148は、半導体処理産業において公知のSCARA(水平多間接型ロボット)型ロボットである。
エンドステーション110は、二つのロードロック116,117と移送ステーションの中間位置に、ロボットが一時的につかんだウエハを置いておくことができる駐留ステーション290を含む。適切な環境下で、両エンドエフェクタは、アームによってつかまれたウエハの存在する、あるいはウエハが存在しないこの駐留ステーションに移動するように回転することができる。図6において、底部エンドエフェクタのロボットアーム220a,221aは、そのつかまれたウエハの位置で貯留される。貯留ステーションへの移動は、モータ225の制御された動作に応じる、二つのエンドエフェクタのロボットアームの同時の上下移動前の、重要な最初のステップである。
図6は、イオン注入エンドステーション110の低圧あるいは真空圧での、複数のワークピースを連続的に処理するウエハ経路あるいは一連の処理運動(図6の矢印)を描いている。この連続のステップは、図8の図表にも示されている。この図の最初のステップにおいて、ロボット148は、高圧(大気圧)領域118に位置するFOUP142からウエハを集め、あるいは採取(300)する(図8)。ロボット148は、FOUP142からウエハを移動し、アライナ150上にそれを置く(301)。アライナは、それからウエハを整列する(302)。図6で、大気内ロボット146において2番目は、アライナ150からウエハを取り出し、あるいは採取し(303)、第1の底部ロードロック117に移動し、ロードロック117内へ未処理ウエハを置く(304)。カバー270が開口232へ置かれた後、ロードロック117は、ロードロック内の圧力を低める(305)ポンプ204の内部へ結合するバルブV2に結合される。(図5参照)。
頂部ロードロック116は、バルブV1に独立に結合され、二つのロードロックは互いに分離されているので、ロードロック117が排気される事実は、頂部ロードロック116が特定の圧力であることが必要であるということを意味しない。
ロードロック117は、第1のロードロック内の圧力を減らすために、ポンプ204によって排気される。ロードロック117が一度低圧あるいは真空圧に排気されると、バルブプレート278(図4)は、アクチュエータ276によって開放される。真空内ロボット132は、ロードロック内部から未処理ウエハを取り出す306ために、ロードロック117内へ一つのエンドエフェクタを移動する。図6において、アーム220、221を有する一つのエンドエフェクタは、ロードロック117からウエハを取り出し、それを、ロボットがウエハチャックにウエハを貯留する、あるいは供給する307ワークピース処理モジュール134へ、弓形経路Pを通って回転する。真空内ロボット132のアーム220a,221aを有する第2エンドエフェクタは、イオン注入室130内の処理モジュールでチャックから処理されたウエハを前もって取り除いているものとして描かれている。
ビームBによるウエハのイオン注入308後、ロボット132はウエハを取り出し(309)、弓形経路Pを経由して戻り、底部ロードロック117へ処理済ワークピースを置く(310)。制御器200は、それからバルブV2によって底部ロードロック200を排気し(311)、大気内ロボット148は、底部ロードロック117から処理済ワークピースを取り出し(312)、それをFOUP142へ戻して置く(313)。
移送経路のシーケンスは、FOUP142から集められるその後の各未処理ウエハに対して繰り返される。ロードロック117がFOUPへ処理済ウエハを戻すことができるように排気される時、ロボット146は処理済ウエハを取り出し後、底部ロードロック117へ未処理ウエハを置くことができる。同様に、未処理のウエハがロードロックから取り出される時、すでに処理されたウエハは、真空内ロボットの第2エンドエフェクタによって排気されたロードロックへ挿入される。
図8に示されるように、複数のウエハが図6の経路に沿って同時に移送中である。処理済ウエハがFOUP142へ戻るように供給される前に、3つの他のウエハのイオン注入シーケンスが、(300a、300b、300bの段階で)スタートしている。ウエハは二つのロードロック間を行ったり来たりし、その結果、FOUP142から次のウエハの取り出し300aの開始シーケンスを始める間、ウエハはロードロック116に供給される。説明された実施形態において、ウエハはイオンビーム処理後、真空中にあるロードロックと同じロードロックを介して真空に入るが、これは発明の実施の要件ではない。実施形態に関していえば、FOUP142からFOUP142まで戻る完全な往復がT秒であれば、同時に4個のウエハの移動は、ウエハにつき、平均時間がT/4秒に減少するということが示された。
図8は、ウエハが通過する一連の段階を示すことを意図している。この図のx軸は時間の増加に対応している。異なる段階が等しい長さであるけれども、異なる段階は、典型的には同じ時間を要せず、例示的な実施形態では、これらの段階に対し、時間が等しくないことが経験されている、ということが理解されるべきである。
図7は、イオン注入機エンドステーションで真空圧あるいは低圧で多数のワークピースを連続的に処理するための、処理移動あるいはウエハ経路の交互のシーケンスを描いている(図7の矢印)。ロボット146は、高圧(大気圧)領域118に位置するFOUP141からウエハを集める。ロボット146は、ウエハをFOUP141からアライナ150へ移動させる。第2大気内ロボット148は、ウエハをアライナ150から取り出し、それを第1の頂部ロードロック116へ移動させ、未処理のウエハをロードロック116へ置く。
第1のロードロック116は、圧力を減少させ、一度排気するために、ポンプ204によって排気され、バルブプレート277はアクチュエータ275によって開かれる。真空内ロボット132は、第1のロードロックの内部から未処理のウエハを取り除くために、ロードロック116へ一つのエンドエフェクタを移動する。
ビーム処理後、ロボット132はウエハを受け取り、弓形経路Pを経由して戻し、第2の底部ロードロック116内に処理済ワークピースを置く。制御器200は、それからバルブV1によって第2のロードロックを排気し、大気内ロボット146は、処理済ワークピースを底部ロードロック116からFOUP141へ戻す移動のために取り除く。図6及び7に示される各移動経路の共通の特徴は、ロードロックに向かうアライナ150を通じた移動である。
本発明は、特殊な程度のものとして記載されていない。表1に示された時間は、本発明の範囲を制限するものではなく、また、処理ステップのシーケンスは本発明を制限するものではない。本発明は、付加された特許請求の範囲の精神あるいは範囲内に収まる開示された例示的実施形態からの全ての変形又は修正を含むことを意図している。
図1は、イオン注入機にワークピースを装着し、イオン注入機から処理されたワークピースを取り外すためのイオン注入機エンドステーションの平面図である。 図2は、図1のエンドステーションの部分的拡大図である。 図3は、イオン注入機エンドステーションで、ワークピースを移送するための上部及び下部ロードロックに関して配置された真空ロボットの概略図である。 図4は、図3で描かれた真空ロボットの部分拡大図である。 図4Aは、ロードロックから処理モジュールへワークピースを移送するための、ロボットアームを回転するハウジング内のモータを支持するロボットハウジングの拡大図である。 図4Bは、真空ロボットにロードロック内部へアクセスすることを可能にするために使用されるゲートバルブの拡大図である。 図5は、ロードロック内外へワークピースの移動を可能にするための、隣接する頂部及び底部ロードロックと真空分離バルブの側面図である。 図6は、これらワークピースのビーム処理前後のワークピースの移動シークエンスを示す。 図7は、これらワークピースのビーム処理前後のワークピースの移動シークエンスを示す。 図8は、図1のエンドステーションにおいて、ワークピースが進行する段階あるいは位置の代表的進捗状況を示す図表である。
符号の説明
エンドステーション 110
ウエハ 112
ロードロック 116,117
高圧領域 118
低圧領域 120
真空内ロボット 132
移送ステーション 134
大気内ロボット 148
エンドエフェクタ 280

Claims (25)

  1. a)ワークピースを高圧領域から低圧領域へ、そして上記高圧領域へ戻す移送を行うための第1分離ロードロック、
    b)ワークピースを高圧領域から低圧領域へ、そして上記高圧領域へ戻す移送を行うための第1分離ロードロックに隣接して配置される第2分離ロードロック、
    c)低圧でワークピースを処理するためのワークピース処理ステーション、
    及び
    d)上記第1及び第2ワークピース分離ロードロックの一つから、ワークピース処理ステーションへワークピースを移送するロボット、
    を含む、低圧でワークピースを処理するためのツールを使用するワークピース移送システム。
  2. さらに、上記ワークピース分離ロードロックにより移動されるワークピースの配列を制御するためのワークピースアライナを含む請求項1記載の移送システム。
  3. 上記第1及び第2分離ロードロックは、
    異なる方向からロードロックハウジング内部へワークピースが挿入できるように開閉する両側の入口を備えたロードロックハウジングと、
    上記ハウジング内の支持体上に置かれるワークピースを支持するための支持体を含む上記ハウジングと、
    上記ロードロックハウジング内部の圧力を低めるため、ロードロックハウジング内部を、大気圧と真空源とに選択的に通気するための制御バルブと、
    からなる請求項1記載の移送システム。
  4. 上記第1及び第2分離ロードロックは、互いに垂直方向に離れており、
    上記ロボットは、上記第1及び第2分離ロードロック内にワークピースを入手するように移動できる2個の離れているエンドエフェクタを含む請求項1記載の移送システム。
  5. 上記離れているエンドエフェクタは、いずれのエンドエフェクタも第1あるいは第2のロードロックのどちらにも入ることが可能なように、ロードロックに関して上下できる請求項4記載の移送システム。
  6. a)低圧領域内のワークピース処理ステーションで、ワークピースを処理するための低圧領域を定める筐体、
    b)各ロードロックが、
    i) 大気圧といったり来たりしてロードロック内へワークピースを移送するために、大気圧と選択的に連通する二つのアクセス開口、
    ii) 上記処理後、低圧で処理するために上記ロードロック内部へワークピースを移送し、そして高圧領域に戻すための第3のアクセス開口、
    を含む二つの隣接したワークピース分離ロードロック、
    c)隣接するワークピース分離ロードロックから上記低圧領域内の処理ステーションへ、ワークピースを移送するための第1ロボット、
    及び
    d)ワークピースを、隣接するワークピース分離ロードロックと行ったり来たりして、処理前に上記ワークピース源から移送し、上記処理後にワークピースの行き先へ移送するための、低圧領域の外側に配置される多数の他のロボット、
    からなる低圧でワークピースを処理するためのツールを使用する移送装置。
  7. 上記隣接するワークピース分離ロードロックは、一方に対して他方が上にある請求項6記載の装置。
  8. ロードロックの上記二つのアクセス開口は、ワークピースが異なる工程の経路に沿って挿入され、ロードロック支持体上に置かれることを可能にするために開かれている請求項7記載の装置。
  9. 第1ロボットは、移送ステーションに対し弓形にワークピースを移動させる請求項6記載の装置。
  10. 第1ロボットは、二つのエンドエフェクタを備え、各エンドエフェクタはいずれかのロードロック内でワークピースを積極的につかむことができる請求項9記載の装置。
  11. 上記ロードロックは、他のロードロックの上方にあり、また、上記ウエハは概して平らなウエハであり、そして、上記二つのエンドエフェクタは、概して上記平らなウエハの平面を横切る方向に上下に移動する請求項10記載の装置。
  12. 上記二つのエンドエフェクタは、同時に上記エンドエフェクタを動かす可動キャリッジに結合されている請求項11記載の装置。
  13. 互いに隣接して配置されている第1及び第2のロードロックから処理ステーションへ、ワークピースを移動させるための二つのエンドエフェクタを有する低圧ロボットを備え、
    未処理ワークピースを第1ロードロックへ移動し、第1ロードロック内へ上記未処理ワークピースを置き、
    第1ロードロック内の圧力を低下させ、
    上記低圧ロボットの一つのエンドエフェクタを備える第1ロードロックから上記未処理ワークピースを移動し、そして、上記処理ステーションへ上記未処理ワークピースを移動し、
    上記第1あるいは第2ロードロックの一つのロードロック内へ、上記低圧ロボットの第2のエンドエフェクタを備える上記処理ステーションから得られるすでに処理されたワークピースを置き、
    上記一つのロードロック内の圧力を上げ、そして、
    上記一つのロードロックから処理済みワークピースを移動させる、
    複数のワークピースを低圧で連続的に処理するプロセス。
  14. 未処理ワークピースが、処理ステーションで処理されている同じ時間に、処理済ワークピースが、一つのロードロック内へ置かれる請求項13記載のプロセス。
  15. ワークピースは半導体ウエハであり、低圧ロボットはウエハを引き付けるウエハチャック上への配置のためにウエハを供給し、さらに処理前にウエハを再度、方向付けし、つかむことからなる請求項14記載のプロセス。
  16. 一つのロードロックが第2のロードロックの上にある請求項13のプロセス。
  17. 第1あるいは第2のロードロックのいずれかの中のワークピースへ、エンドエフェクタのいずれかを近づけることができるように、低圧ロボットの二つのエンドエフェクタを上下させる請求項16記載のプロセス。
  18. 二つのエンドエフェクタは、各エンドエフェクタを独立に動かす駆動モータを支持するキャリッジ上に、互いに同一線上に取り付けられており、さらに、一つのロードロックに関して、一つのエンドエフェクタを略位置づけ、ロードロックに関して上記キャリッジを上下させることを含む請求項17記載のプロセス。
  19. 上記第1及び第2のロードロックは、上記ロードロックの大気側からロードロックへ異なる方向からワークピースを挿入できるように互いに関してある角度でアクセス開口を有しており、さらに、上記ロードロックの内外へワークピースを移動させる複数の大気内ロボットを含んでいる請求項13記載のプロセス。
  20. 上記ワークピースは、概して平らなウエハであり、さらに、未処理ウエハをロードロック内へ挿入するより前に、ウエハの方向を整列することを含む請求項13記載のプロセス。
  21. 概して平らなウエハを上記ロードロックへ往復移動させるための第1及び第2の大気内ロボットを備え、第1の大気内ロボットは、ウエハ源からウエハを集め、方向付けのためアライナ上へ未処理ウエハを置き、そして、第2の大気内ロボットは、ロードロックを介して移動のためのアライナから整列されたウエハを処理ステーションへ、移動させることを、更に含む請求項20記載のプロセス。
  22. 1以上のさらなる未処理ワークピースは、ロードロックの一方から処理済ワークピースを移動させる前に、大気内ロボットによってウエハ源から上記第1及び第2のロードロックへ、連続的に移動される請求項13記載のプロセス。
  23. さらなる未処理ワークピースの代わりのものが、排気されたロードロックから先行するワークピースを移動させることを可能とするために、上記第1及び第2のロードロックの代わりのロードロックへ置かれ、その間、代わりのロードロックは、未処理ワークピースを受け取るために、大気に開口されている請求項22記載のプロセス。
  24. 与えられたワークピースは、上記与えられたワークピースが処理されるより前に置かれた同じロードロックから処理後に移動される請求項13記載のプロセス。
  25. 第1及び第2のロードロックは、互いに隣接して配置され、
    第1及び第2のロードロックから処理ステーションへワークピースを移動させ、ロボットの中央軸の周囲に回転する二つのエンドエフェクタを有する第1の低圧ロボット、
    第1のロードロックへ未処理ワークピースを移動させ、また、第1のロードロック内へ未処理ワークピースを置く第2の大気内ロボット、
    第1のロードロック内の圧力を減少するために第1のロードロックを排気するポンプ、及び、
    上記第1ロボットの一つのエンドエフェクタを備える上記第1ロードロックから、上記第1ロボットに未処理ワークピースを移動させ、第2のロードロックの圧力を上げる前に、第2のロードロック内へ上記第1ロボットの第2エンドエフェクタを備える処理ステーションから得られた処理済ワークピースを置くための制御器、
    を含む複数のワークピースを低圧で連続的に処理する装置。
JP2007508638A 2004-04-16 2005-04-18 ワークピース処理システム Pending JP2007533167A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/826,419 US7246985B2 (en) 2004-04-16 2004-04-16 Work-piece processing system
PCT/US2005/013273 WO2006041530A2 (en) 2004-04-16 2005-04-18 Work-piece processing system

Publications (2)

Publication Number Publication Date
JP2007533167A true JP2007533167A (ja) 2007-11-15
JP2007533167A5 JP2007533167A5 (ja) 2008-05-08

Family

ID=35096438

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007508638A Pending JP2007533167A (ja) 2004-04-16 2005-04-18 ワークピース処理システム

Country Status (7)

Country Link
US (2) US7246985B2 (ja)
EP (1) EP1735822A2 (ja)
JP (1) JP2007533167A (ja)
KR (1) KR101276014B1 (ja)
CN (1) CN100437898C (ja)
TW (1) TWI347295B (ja)
WO (1) WO2006041530A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009534867A (ja) * 2006-04-24 2009-09-24 アクセリス テクノロジーズ, インコーポレイテッド ロードロック制御
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
WO2020059574A1 (ja) * 2018-09-21 2020-03-26 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP2020510310A (ja) * 2017-03-15 2020-04-02 ラム リサーチ コーポレーションLam Research Corporation リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221811A1 (en) * 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US7720558B2 (en) * 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
US9110456B2 (en) * 2004-09-08 2015-08-18 Abb Research Ltd. Robotic machining with a flexible manipulator
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
EP1830782B1 (en) * 2004-12-22 2013-06-05 Intelligent Hospital Systems Ltd. Automated pharmacy admixture system (APAS)
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
JP4664264B2 (ja) * 2006-10-26 2011-04-06 東京エレクトロン株式会社 検出装置及び検出方法
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US8752449B2 (en) 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR101660894B1 (ko) 2007-06-27 2016-10-10 브룩스 오토메이션 인코퍼레이티드 다차원 위치 센서
US8659205B2 (en) 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
WO2009003193A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Position feedback for self bearing motor
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8008884B2 (en) 2007-07-17 2011-08-30 Brooks Automation, Inc. Substrate processing apparatus with motors integral to chamber walls
JP5603333B2 (ja) * 2009-07-14 2014-10-08 キヤノンアネルバ株式会社 基板処理装置
JP5465979B2 (ja) * 2009-10-26 2014-04-09 東京エレクトロン株式会社 半導体製造装置
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP5755842B2 (ja) * 2010-04-22 2015-07-29 株式会社ダイヘン ワーク搬送システム
CN102310999B (zh) * 2010-07-09 2013-07-17 上海凯世通半导体有限公司 真空传输制程设备及方法
US9312153B2 (en) * 2010-08-06 2016-04-12 Tokyo Electron Limited Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
JP5494617B2 (ja) * 2011-10-26 2014-05-21 株式会社安川電機 ロボットシステムおよび加工品の製造方法
CN102539263A (zh) * 2011-11-29 2012-07-04 南通大学 基于掩蔽处理技术的轻微磨损测量方法
US9139402B2 (en) * 2012-02-21 2015-09-22 Altec Industries, Inc. Retractable load guide
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
CN104016175A (zh) * 2014-05-26 2014-09-03 王义峰 一种丝网印刷机的自动输出机构
JP6257455B2 (ja) * 2014-06-17 2018-01-10 住友重機械イオンテクノロジー株式会社 イオン注入装置及びイオン注入装置の制御方法
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9776807B2 (en) * 2014-10-29 2017-10-03 Alain Cerf Changing orientation of an article on a moving conveyor
JP2017513036A (ja) * 2014-11-14 2017-05-25 マッパー・リソグラフィー・アイピー・ビー.ブイ. 貨物固定システムおよびリソグラフィシステム内で基板を移送するための方法
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
CN108695217B (zh) * 2017-04-07 2021-06-29 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0579941U (ja) * 1992-03-31 1993-10-29 株式会社スガイ 物品把持装置
JPH11307608A (ja) * 1998-04-16 1999-11-05 Nissin Electric Co Ltd 被処理物体搬送装置
JP2000012647A (ja) * 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
JP2000208589A (ja) * 1998-11-09 2000-07-28 Tokyo Electron Ltd 処理装置
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
JP2001160578A (ja) * 1999-11-30 2001-06-12 Anelva Corp 真空搬送処理装置
JP2001274218A (ja) * 2000-03-23 2001-10-05 Sankyo Seiki Mfg Co Ltd ダブルアーム型ロボット

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4498832A (en) * 1982-05-21 1985-02-12 The Boc Group, Inc. Workpiece accumulating and transporting apparatus
US5003183A (en) * 1989-05-15 1991-03-26 Nissin Electric Company, Limited Ion implantation apparatus and method of controlling the same
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5482607A (en) * 1992-09-21 1996-01-09 Nissin Electric Co., Ltd. Film forming apparatus
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW345705B (en) * 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3215643B2 (ja) * 1997-01-31 2001-10-09 ワイエイシイ株式会社 プラズマ処理装置
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
GB2349269A (en) * 1999-04-19 2000-10-25 Applied Materials Inc Ion implanter
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0579941U (ja) * 1992-03-31 1993-10-29 株式会社スガイ 物品把持装置
JPH11307608A (ja) * 1998-04-16 1999-11-05 Nissin Electric Co Ltd 被処理物体搬送装置
JP2000012647A (ja) * 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
JP2000208589A (ja) * 1998-11-09 2000-07-28 Tokyo Electron Ltd 処理装置
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
JP2001160578A (ja) * 1999-11-30 2001-06-12 Anelva Corp 真空搬送処理装置
JP2001274218A (ja) * 2000-03-23 2001-10-05 Sankyo Seiki Mfg Co Ltd ダブルアーム型ロボット

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009534867A (ja) * 2006-04-24 2009-09-24 アクセリス テクノロジーズ, インコーポレイテッド ロードロック制御
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP6006643B2 (ja) * 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
JP2020510310A (ja) * 2017-03-15 2020-04-02 ラム リサーチ コーポレーションLam Research Corporation リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
JP7275039B2 (ja) 2017-03-15 2023-05-17 ラム リサーチ コーポレーション リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
WO2020059574A1 (ja) * 2018-09-21 2020-03-26 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP2020053418A (ja) * 2018-09-21 2020-04-02 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP7210960B2 (ja) 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法

Also Published As

Publication number Publication date
KR101276014B1 (ko) 2013-06-19
KR20070012490A (ko) 2007-01-25
US7699574B2 (en) 2010-04-20
EP1735822A2 (en) 2006-12-27
TW200540087A (en) 2005-12-16
WO2006041530A2 (en) 2006-04-20
US20070243049A1 (en) 2007-10-18
CN100437898C (zh) 2008-11-26
US20050232727A1 (en) 2005-10-20
CN1943009A (zh) 2007-04-04
US7246985B2 (en) 2007-07-24
WO2006041530A3 (en) 2006-06-08
TWI347295B (en) 2011-08-21

Similar Documents

Publication Publication Date Title
JP2007533167A (ja) ワークピース処理システム
JP4935987B2 (ja) イオン注入機と共に使用するための移送装置及びその方法
US10832926B2 (en) High throughput serial wafer handling end station
KR100598196B1 (ko) 반도체 처리 시스템에 있어서의 지지 기구
JP5273437B2 (ja) イオンビーム注入装置用のワークピース移送システム及びその処理方法
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
WO2016073330A1 (en) Wafer aligner
US20060263187A1 (en) Method and apparatus for unloading substrate carriers from substrate carrier transport system
JPH10242234A (ja) 製造装置
JP2007533167A5 (ja)
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
JP4620214B2 (ja) ウェハ処理装置
JP2023154038A (ja) 独立型の付属フィードスルーを備えた基板搬送装置
CN113380680A (zh) 硅片输运装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110405

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120123

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120130

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120621

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130131

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130214