KR101276014B1 - 워크피스 프로세싱 시스템 - Google Patents

워크피스 프로세싱 시스템 Download PDF

Info

Publication number
KR101276014B1
KR101276014B1 KR1020067024015A KR20067024015A KR101276014B1 KR 101276014 B1 KR101276014 B1 KR 101276014B1 KR 1020067024015 A KR1020067024015 A KR 1020067024015A KR 20067024015 A KR20067024015 A KR 20067024015A KR 101276014 B1 KR101276014 B1 KR 101276014B1
Authority
KR
South Korea
Prior art keywords
workpiece
load lock
isolation
wafer
processing
Prior art date
Application number
KR1020067024015A
Other languages
English (en)
Other versions
KR20070012490A (ko
Inventor
조셉 페라라
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20070012490A publication Critical patent/KR20070012490A/ko
Application granted granted Critical
Publication of KR101276014B1 publication Critical patent/KR101276014B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

저압 또는 진공에서 워크피스를 프로세싱하는 툴과 함께 사용하기 위한 이송 시스템은 실리콘 웨이퍼를 주입하기 위한 이온 주입기와 같다. 인클로저는 저압 영역 내의 워크피스 프로세싱 스테이션에 위치된 워크피스의 프로세싱을 위한 저압 영역을 한정한다. 두 개의 층형 다수의 워크피스의 격리 로드 록은 워크피스를 더 높은 압력 영역으로부터 프로세싱을 위한 더 낮은 압력 영역으로 이동시키고, 상기 프로세싱 이후에 상기 더 높은 압력으로 다시 이동시킨다. 제1 로봇은 워크피스를 저압 영역 내의 로드 록으로부터 저압 영역 내의 프로세싱 스테이션으로 이동시킨다. 저압 영역 외부에 위치된 다수의 다른 로봇은 워크피스를 프로세싱 전에 상기 워크피스의 소스로부터 두 개의 층형 워크피스의 격리 로드 록으로 상기 프로세싱 이후에 두 개의 층형 워크피스의 격리 로드 록으로부터 상기 워크피스의 목적지로 이동시킨다.
워크피스, 워크피스의 격리 로드 록, 프로세싱 스테이션, 제1 및 제2 로봇

Description

워크피스 프로세싱 시스템{WORK-PIECE PROCESSING SYSTEM}
본 발명은 저압 또는 진공에서 워크피스를 프로세싱하는 진공 영역의 툴로/로부터 워크피스를 이송하는 시스템에 관한 것이다.
본 발명의 양수인, Axcelis Technologies는 집적 회로 제조 동안에 실리콘 웨이퍼의 프로세싱을 위한 제품을 디자인하여 판매한다. 이런 제품이나 툴은 명칭 MC-3로 판매된다. 이런 툴은 이온빔을 생성하여, 이온빔 내에 위치된 웨이퍼의 물리적인 특성을 바꾼다. 이런 프로세스는 예를 들어, 반도체 물질을 제조하기 위해서 실리콘을 도핑하기 위해 사용되는데, 상기 실리콘으로 처리되지 않은 웨이퍼가 만들어진다. 이온 주입 전 저항 물질로 마스킹(masking)할 뿐만 아니라 웨이퍼 내에 여러 도판트 패턴을 레이어링(layering)하는 것의 사용을 제어하여 무수한 애플리케이션들 중 하나에 사용하기 위한 집적 회로를 제조한다.
다양한 다른 툴이 직접 회로 제조 동안에 사용된다. 이런 툴들은 제어된 조건하에서 웨이퍼의 급속한 열 프로세싱을 포함하여 웨이퍼를 애닐링(anneal)한다. 다른 툴들은 제어된 패턴으로 웨이퍼 상에 포토레지스트를 적용하기 위해서 사용된다. 애싱 프로세싱 동안에 웨이퍼로부터 포토레지스트 물질을 제거하기 위한 툴들이 사용된다. 처리된 웨이퍼를 개별 집적 회로로 절단하기 위해서 다른 툴이 사용된다.
모델명 MC-3 주입기와 같은 빔 주입기의 이온 주입 챔버는 감소된 압력으로 유지된다. 빔 라인을 따라 가속 이후에, 빔 내의 이온은 주입 챔버로 인입되어 웨이퍼에 부딪힌다. 이온 주입 챔버 내에 웨이퍼를 위치시키기 위해서, 웨이퍼가 컨베이어 시스템 또는 다른 운반 수단에 의해서 운반되는 카세트(cassette) 또는 저장 장치로부터 로드 록(load lock) 내의 로봇에 의해 이동된다.
Sieradzki에 의한 미합중국 특허 제 5,486,080호는 진공 프로세싱에서 워크피스의 고속 이동을 위한 시스템에 관한 것이다. 시스템은 두 개의 로드 록으로부터 웨이퍼를 프로세싱 스테이션으로 이동시키는 두 개의 웨이퍼 트랜스포트 로봇(transport robots)을 사용한다.
연속적인 단부 스테이션에 관한 부가적인 특허는 미합중국 특허 제 6,350,097호, 제 6,555,825호 및 5,003,183호이다.
본 발명에 따라 형성된 예시적인 시스템은 이온 주입기 단부 시스템에 관한 것이다. 주입기는 저압 또는 진공에서 워크피스를 프로세싱한다. 주입기는 워크피스 프로세스 모듈에 의해서 워크피스를 프로세싱하는 저압 영역을 한정하는 인클로저(enclosure)의 내부로/외부로 워크피스를 이송하는 이송 장치를 갖는다.
두 개의 근접한 워크피스의 격리 로드 록이 제공된다. 각각의 로드 록은 대기압으로/로부터 워크피스를 이송하는 로드 록 내부로 대기압을 선택적으로 전달하는 두 개의 액세스 개구를 포함한다. 로드 록의 제3 액세스 개구는 프로세싱을 위해 저압이고 프로세싱 이후에 고압으로 되돌아가는 로드 록 내부 내로 워크피스의 이동을 허용한다.
제1 로봇은 근접한 워크피스의 격리 로드 록으로부터 저압 또는 진공 영역 내의 프로세스 모듈로 워크피스를 이동시킨다. 저압 영역 외부에 위치된 다수의 다른 로봇들은 프로세싱 전에는 워크피스의 소스로부터 근접한 워크피스의 격리 로드 록으로 프로세싱 이후에는 근접한 워크피스의 격리 로드 록으로부터 워크피스 목적지로 워크피스를 이동시킨다.
본 발명의 이런 특징 및 다른 특징이 도면을 참조하여 설명되는 본 발명의 예시적인 실시예의 상세한 설명의 리뷰를 통해 이해될 것이다.
도1은 이온 주입기로 워크피스를 위치시키고 이온 주입기로부터 처리된 워크피스를 제거하는 이온 주입기 단부 스테이션의 상부도;
도2는 도1 단부 스테이션의 일부를 확대한 도면;
도3은 이온 주입 단부 스테이션에서 워크피스를 이송하는 상부 로드 록 및 하부 로드 록에 대해 위치된 진공내 로봇(in vacuum robot)을 도시하는 개략적인 도면;
도4는 도3에 도시된 진공내 로봇의 일부를 확대한 도면;
도4A는 로드 록으로부터 프로세싱 모듈로 워크피스를 이송하는 로봇 팔을 회전시키는 하우징 내의 로봇 하우징 지지 모터를 확대한 도면;
도4B는 진공으로 로봇을 로드 록 내부에 접근하도록 하는데 사용되는 게이트 밸브를 확대한 도면;
도5는 로드 록 안팎에서 워크피스 이동을 허용하는 진공 격리 밸브 및 근접한 최상부 및 최하부 로드 록의 측면도;
도6 및 도7은 이런 워크피스의 빔 프로세싱 전 후의 워크피스 이동 순서;
도8은 워크피스가 도1의 단부 스테이션에서 이동하는 위치 또는 단계의 개별적인 진행을 도시하는 차트.
이제 도면으로 돌아가서, 도1 및 도3은 저압 또는 진공에서 반도체 웨이퍼(112)와 같은, 워크피스를 프로세싱하는 이온 빔 프로세싱 툴과 함께 사용하는 단부 스테이션(110)을 도시한다. 단부 스테이션은 고압 영역(118)으로부터 저압 영역(120)으로 워크피스(전형적으로 반도체 웨이퍼)를 이동시키고 고압의 영역(118:전형적으로 대기압)으로 되돌려보내는 두 개의 워크피스의 격리 로드 록(116,117)(도3)을 포함한다. 도시된 예시적인 실시예에서, 두 개의 로드 록(116,117)은 하나가 다른 것 위에 적층된다(수직 방향으로 적층됨).
도1에 도시된 단부 스테이션의 한 애플리케이션은, 저압인 내부를 갖는 이온 주입 챔버(130)에 인입하는 이온 빔(B)으로부터의 이온으로, 동시에(한번에) 하나의 반도체 웨이퍼를 연속적으로 주입하는 것이다. 로봇(132)은 두 개의 로드 록(116,117)들 중 하나로부터 워크피스를 제거하여 워크피스들을 이온 주입 챔버(130) 내에 위치시키도록 위치가 정해진다. 로봇(132)은 두 개의 로드 록(116,117)들 중 하나로부터 웨이퍼를 이동시킬 수 있고, 웨이퍼들을 이송 스테이션(134)으로부터 웨이퍼 척(chuck)으로 보낸다. 이송 스테이션(134)에서, 로봇(132)은 웨이퍼를 웨이퍼 척(135) 상에 위치시키는데, 이 웨이퍼 척은 워크피스를 끌어당켜서 워크피스를 척 상의 위치에서 안전하게 유지시킨다. 이런 웨이퍼 척은 종래 기술에서 공지된다. 그 후에 척 및 워크피스는 이온 빔(B)을 형성하는 이온에 의해서 워크피스 주입을 위한 위치로 회전된다. 척(135)이 거의 90도(도3에서 도시된 바와 같이 웨이퍼 또는 워크피스를 수직으로 향함)로 회전된 후에, 웨이퍼가 주입 챔버(130) 내에서 이온 빔(B)을 통해 화살표(137)로 나타내지는 바와 같이 앞뒤로 스캔 된다.
본 발명의 예시적인 실시예에 따라, 이온 빔(B)은, 이온 주입 챔버(130)에 이온이 도달하기 전에, 이온 빔 경로를 따라 위쪽으로, 전극(도시되지 않음)에 의해 나란히(from side to side) 스캔된다. 병렬 스캐닝(side to side scanning)의 수단을 쓰지 않고 웨이퍼를 주입하는 다른 수단이 종래 기술에 또한 공지되고 있다. 병렬 스캐닝은 팬(fan) 형태의 빔을 생성한다. 화살표(137)로 나타내지는 바와 같이 위 아래로의 워크피스 스캐닝과 합력하는 이런 형태는 이온 빔과 마주보는 워크피스의 전체 표면을 처리한다.
(약 2 내지 3초 정도 취하는) 이온 빔에 의한 빔 프로세싱 이후에, 웨이퍼 척은 이송 스테이션으로 다시 회전하고, 로봇(132)은 워크피스를 회수하며 고압 영역(118)으로 다시 이동시키기 위해서 처리된 워크피스를 다시 로드 록(116,117)들 중 하나로 이동시킨다. 개시된 실시예에서, 로봇(132)은 각각 두 개의 팔을 갖는 두 개의 능동 엣지 그립 단부 이펙터(active edge grip end effectors)를 포함하는데, 어느 쪽의 단부 이펙터든지 워크피스를 로드 록 내에서 잡는데 사용할 수 있고, 워크피스를 이송 스테이션으로 이동시킴에 따라, 로드 록(116,117)에 관해서 아치형 경로(P)(도1)로 이동시키는데 사용할 수 있다.
본 발명의 예시적인 실시예는, 집적 회로 제조 설비에서 반도체 웨이퍼의 이온 빔 프로세싱을 위한 이온 주입기에 사용된다. 도1은 이런 집적 회로(IC) 제조 설비에서 하나의 툴로부터 다른 툴로 실리콘 웨이퍼를 이동시키기 위해 사용되는 네 개의 전면 개구 통합 포드(front opening unified pod)(140-143)를 개략적으로 도시한다. 오버헤드 트랜스포트(overhead transport)(도시되지 않음)는 두 개의 공기중 로봇(in-air robots)(146,148)들 중 하나의 범위 내에서 단부 스테이션(110)에 관련된 위치에 이런 네 개의 포드들 각각을 두어서 로봇 팔이 프로세싱을 위해 포드로부터 하나 이상의 실리콘 웨이퍼를 뽑아낼 수 있도록 한다. 공기중 로봇은 웨이퍼를 잡고 얼라이너(aligner)(150) 상에 웨이퍼를 위치시키는데, 이 얼라이너는 두 개의 로드 록(116,117)들 중 하나로 웨이퍼를 삽입하기 전에, 바람직한 방향으로 웨이퍼를 배향한다.
도3,4 및 도4A의 측면도는 로드 록(116,117)으로부터 저압 영역(120)으로 웨이퍼를 이동시키는 진공내 로봇(132)의 세부사항을 도시한다. 로봇(132)은 두 개의 동심의, 일반적으로는 수직 방향의 구동 샤프트(210,211)를 포함하는데, 이는 로봇 하우징(216) 내에서 지지되는 모터(214,215)(도4A)에 연결된다. 구동 샤프트는 하우징(216)의 상부에서 자성 유체 씰(ferro fluidic seal)(217)에 의해 지지되고 이를 통과한다. 내부 구동 샤프트(210)는 상부 지지부(222)로부터 중심축(224)에 대해서 제어된 위치로 방사형으로 신장하는 로봇 팔(220,221)을 갖는 제1 단부 이펙터를 선택적으로 방향 맞추기 위해서(배향하기 위해서) 모터(215)에 의해 회전된다. 제2 하부 지지부(223)는 구동 샤프트(211)에 연결되고, 중심축(224)에 대해 제어된 회전을 위해 팔(220a,221a)을 갖는 제2 단부 이펙터를 지원한다. 도2의 부분적인 부분도에서, 샤프트(211)는 최하부 또는 하부 로드 록(117) 내에서 웨이퍼를 잡기 위한 위치에서 팔(220a,221a)을 위치시키도록 회전되었다. 도3 및 도4의 측면도에서, 최상부 단부 이펙터 팔(220,221)은 이송 스테이션에서 척(135) 상에 웨이퍼를 위치시키기 위한 위치로 모터(215)의 제어된 발동 작용(actuation)에 의해서 회전되었다.
로봇에서 공압 액추에이터(도시되지 않음)는, 하나의 피벗하는 팔(220)이 고정된 팔(221)에 대해서 피벗하도록 하여, 예컨대 피벗하는 팔(220)과 고정된 팔(221) 사이에서 웨이퍼를 잡도록 제어할 수 있게 (도1에서 단부 스테이션(10)을 통한 웨이퍼의 이동을 조정하는 제어기(200)에 의해서) 작동할 수 있다. 피벗하는 팔(220)이 웨이퍼(112)와 같은 웨이퍼와 접촉하도록 이동할 때, 두 개의 팔과 연결된 접촉 패드(226)는 웨이퍼의 에지를 따라 세 개의 지점에서 웨이퍼를 맞물리게 한다. 일단, 웨이퍼가 팔에 의해서 잡혀지면, 상기 단부 이펙터 팔은 로봇(132)의 회전(224) 중심축에 대해 새로운 위치로 웨이퍼를 회전시킬 수 있다.
도4A에 도시된 바와 같이, 모터(214,215) 및 관련된 구동 샤프트(210,211)가 캐리지(carrage)(228)에 연결되는데 이 캐리지는 단부 이펙터 둘 다가 동시에 도4에 한정된 'z' 방향에서 위 아래로 이동하도록 한다. 이는 캐리지(228)에 연결된 볼 스크류(ball screw)에 연결된 출력 샤프트를 갖는 모터(225)에 의해서 성취된다. 제어기(200)에 의한 모터(225)의 통전 제어(controlled energization)는, 선형 트랙(229) 상에서 캐리지(228)를 위 아래로 이동시킨다. 파크(park) 또는 잡는 위치(290)(도1)에서의 z 방향으로의 위 아래로 캐리지(228)의 제어된 움직임은, 로봇의 두 단부 이펙터 중 어느 하나가 한쪽 또는 다른 쪽의 로드 록으로 이동하도록 한다. 언급된 다른 방법에서, 제1 단부 이펙터의 팔(220,221)은 웨이퍼를 잡기 위해서 어느 한쪽의 로드 록(116,117)으로 삽입될 수 있고, 제2 단부 이펙터의 팔(220a,221a)이 또한 어느 한쪽의 로드 록(116,117)에 삽입될 수 있다. 그 후에 주입 챔버 내의 이송 스테이션의 프로세싱 모듈로 웨이퍼를 이동시키기 위해서, 웨이퍼가 최상부 로드 록(116)로부터 꺼내지면, 웨이터는 z 방향에서 다시 재 위치될 수 있다.
도4로 돌아가서, 자성 유체 씰(217)은 그의 바깥 둘레를 따라 캐리지(228)에 연결된 빌로우즈(bellows)에 의해 경계가 지어지는데, 이 빌로우즈는 모터가 z 방향으로 팔을 위치시키기 위해서 위 아래로 지지부(222,223)를 이동시킴에 따라 신장하고 압축된다. 이런 빌로우즈(227)는 빌로우즈 외부의 방사형 영역이 대기압을 유지하도록 하는 반면 웨이퍼가 이송 스테이션 및 로드 록 사이에서 앞뒤로 회전하는 영역은 저압 또는 진공에서 비워진(배기된) 상태를 유지하도록 한다.
삭제
로드 록(116,117) 각각은 두 개의 개구를 포함하는데, 이 개구는 처리되지 않은 웨이퍼의 삽입 및 로드 록으로부터 처리된 웨이퍼를 빼기 위해서 고압 영역을 마주본다(고압 영역과 대면한다). 최상부 로드 록(116)은 두 개의 개구(230,231)를 포함하는데, 이들은 제어기(200)에 의한 주입 프로세스 동안에 적합한 시간에, 로드 록의 외부를 마주보는 표면에 접촉하여 클램핑되는 씰링 도어(sealing doors)에 의해서 열리고 닫힌다. 유사한 방법으로, 최하부 로드 록(117)은 두 개의 개구(232,233)를 갖는데, 이들은 주입 프로세스 동안에 적합한 시간에 로드 록의 외부를 마주보는 표면에 접촉하여 클램핑되는 씰링 도어에 의해 열리고 닫힌다.
웨이퍼를 로드 록 내에 위치시키기 위해서, 적합한 게이트 밸브 또는 도어가 그의 대응하는 개구 및 두 개의 ATM 로봇(atmosphere robots)(146,148)들 중 하나에 의해서 로드 록 내로 삽입되는 웨이퍼로부터 떨어져서 이동되어야만 한다. 도4의 측면도에서, 로드 록 개구(230,232)가 도시되고, 로봇(148)의 단부 이펙터는 최상부 로드 록에서 개구(230)에 관련되어 위치되는 것으로 도시된다. 단부 이펙터(280)는 웨이퍼를 로드 록에 삽입하고 방사형으로 신장하는 팔(241,242,243)(도2)을 갖는 스파이더 지지부(spider support)(240) 상에 웨이퍼를 위치시키는데, 이 스파이더 지지부는 웨이퍼가 진공내 로봇(132)의 단부 이펙터에 의해서 잡혀지도록 하는 위치에서 웨이퍼를 지지한다. 웨이퍼를 잡고 웨이퍼를 이송 스테이션으로 이동시키기 위해서, 로봇 팔은 도4에 도시된 바와 같은 두 개의 액세스 개구(260,262)들 중 적합한 하나를 통해 회전한다.
도4의 측면도에서 도시되는 바와 같이, 로드 록은, 로드 록 내로의 웨이퍼 이동을 모니터링하는 두 개의 광센서(246,247)가 옆에 위치되는 두 개의 투명한 창(244,245)을 포함한다. 센서는 스파이더 지지부(240) 상의 웨이퍼의 존재 및 정렬을 검사하고 제어기(200)로 신호를 다시 전송한다.
도5는 최상부 로드 록 및 최하부 로드 록(116,117) 및 공기중 로봇에 의해서 웨이퍼를 삽입하고 빼도록 하는 로드 록을 열고 닫는 구조를 도시한다. 최상부 로드 록은 두 개의 게이트 밸브(266,267)를 포함하는데, 이는 서로 거의 90도의 각을 갖고 공압 액추에이터(268,269)에 연결되는 플레이트를 포함한다. 플레이트가 그렇게 각이 졌다는 사실은, 로봇(146,148)이 웨이퍼를 모으거나 또는 웨이퍼를 로드 록으로부터/로드 록으로 전달할 수 있다는 것을 의미한다. 유사하게, 최하부 로드 록은 관련된 공압 액추에이터(272,273)에 연결된 두 개의 게이트 밸브(270,271)를 갖는다. 각각의 밸브는 로드 록내의 개구 중 관련된 하나에 놓여지고, 플레이트 주변에서 신장하는 주변 밀봉을 포함하여, 플레이트와 그의 관련된 개구 사이에의 기밀 맞물림을 보장한다. 웨이퍼가 개구에 삽입되도록 허용하기 위해서, 플레이트는 우선 일반적으로 로드 록의 외부 표면에 수직 방향으로 그의 개구로부터 떨어지게 이동된다. 이런 외부로의 움직임은 (예컨대) 플레이트에 연결된 피스톤(274)에 의해서 성취된다. 일단, 플레이트가 충분히 피스톤에 의해 개구로부터 이격되면, 그의 관련된 액추에이터는 로드 록으로부터 충분한 거리를 로드 록으로부터 떨어져 경로를 따라 가로로 관련된 플레이트를 이동시켜서, 공기중 로봇 단부 이펙터(280)가 로드 록의 액세스 개구 내에 웨이퍼를 삽입할 수 있고, 스파이더 지지부(240)에 웨이퍼를 둘 수 있다. 밸브(271)의 커버 플레이트가 도5에 도시된 양방향 화살표로 나타내지는 바와 같이 아래로 이동한다. 개구를 씰링하고 씰링하지 않기 위한 플레이트의 움직임은 제어기(200)에 의해서 지정된 액추에이터의 위치의 제어된 발동 작용에 의해서 성취된다.
로드 록의 진공 측에서, 시스템은 게이트 밸브(277,278)와 연결된 최상부 액추에이터 및 최하부 액추에이터(275,276)를 포함한다. 밸브 및 액추에이터는 밸브 하우징(281)에 의해서 지지된다. (도4 및 도4B를 보면) 밸브 하우징(281)은 로드 록(116,117) 및 로봇 하우징(216) 사이에 놓여진다. 게이트 밸브(277,278)는 z 방향으로 위아래로 이동하여, 로봇 팔이 진공 영역 안팎으로 웨이퍼를 이동시키도록 회전하는 로드 록 내부에서 액세스 개구(260,262)를 열고 닫는다. 도4B에 도시된 바와 같이, 게이트 밸브(277)는 피스톤 및 플레이트을 갖고 차례로 개구(260)를 한정하는 게이트 밸브 하우징(281)의 표면을 맞물려 밀봉하는 씰(283)을 지지한다. 빌로우즈(279)는 게이트 밸브의 피스톤을 둘러싸서 로드 록의 액추에이터(275)가 대기에 있도록 하는 반면 게이트는 저압 또는 진공에 있게 한다.
공기중 로봇(148)은 로봇(132)의 디자인과 다른 디자인으로 구성된다. 공기중 로봇(148)은 단부 이펙터(280)를 갖는데, 단부 이펙터에 의해 지지되는 워크피스가 로봇(148)의 중심(282: 도 3 참조)에 대해 안팎으로 방사형으로 변형되도록 할 수 있다. 이런 단부 이펙터(280)는 또한 로봇 중심(282)에 대해 피벗할 수 있다. 로드 록으로의 도어 또는 커버(266)가 열릴 때, 단부 이펙터는 웨이퍼를 로드 록으로 이동시키고 그 웨이퍼를 스파이더 지지부(240)에 둔다. 로봇(148)은 반도체 프로세싱 산업에 공지된 SCARA(선택적인 컴플라이언스 어셈블리 로봇 팔) 유형의 로봇이다.
두 개의 로드 록(116,117)과 이송 스테이션 사이의 (중간) 위치에서, 단부 스테이션(110)은 파크 스테이션(290)을 포함하는데, 여기서 로봇은 일시적으로 웨이퍼를 잡은 상태로 대기(파크)시킬 수 있다. 적합한 환경에서, 단부 이펙터 둘 다는 팔에 의해 잡힌 웨이퍼와 함께, 또는 웨이퍼 없이, 이런 파크 스테이션(290)으로 이동시키도록 회전될 수 있다. 도6에서, 로봇 팔(220a,221a)의 최하부 단부 이펙터는 웨이퍼를 잡은 상태로, 이런 위치에서 대기하게 된다. 파크 스테이션으로의 움직임은, 모터(225)의 제어된 발동 작용에 응답하여 로봇 팔의 두 개의 단부 이펙터의 위아래로의 동시적인 움직임 전의, 중요한 개시 단계일 수 있다.
도6은 주입기 단부 스테이션(110)에서 저압 또는 진공에서 다수의 워크피스를 연속적으로 프로세싱하는 웨이퍼 경로(도6에서 화살표) 또는 프로세싱 움직임의 순서를 도시한다. 이런 단계의 순서는 또한 도9의 차트에 도시된다. 이런 도면에서 제1 단계로서, 로봇(148)은 더 높은 압력 영역(대기)에 위치된 FOUP(142)로부터 웨이퍼를 모으거나 뽑는다(300)(도8). 로봇(148)은 FOUP(142)로부터 웨이퍼를 이동시키고 얼라이너(150) 상에 웨이퍼를 위치시킨다(301). 그 후에 얼라이너는 웨이퍼를 정렬시킨다(302). 도6에서, 제2 공기중 로봇(146)은 얼라이너(150)로부터 웨이퍼를 회수하거나 뽑고(303), 웨이퍼를 제1 로드 록(117:여기서는, 최하부 로드 록)으로 이동시키고 처리되지 않은 웨이퍼를 로드 록(117)에 위치시킨다(304). 로드 록(117)은 로드 록의 내부를 펌프(204)에 연결하는 밸브(V2)에 연결되는데, 이는 커버(270)가 개구(232)에 걸쳐 위치된 후에 로드 록 내의 압력을 낮춘다(305). (도5를 보면) 로드 록(117)이 펌프 다운된다는 사실은, 최상부 로드 록(116)이 각각 가동할 수 있는 밸브(V1)에 분리해서 연결되고, 두 개의 로드 록이 서로 격리되므로, 최상부 로드 록(116)이 특정한 압력에 있을 필요로 있다는 것을 의미하지는 않는다는 것을 주의하자.
로드 록(117)은 펌프(204)에 의해서 비워져서(배기되어) 제1 로드 록 내의 압력을 감소시킨다. 일단, 로드 록(117)이 저압이나 진공으로 비워지면, 밸브 플레이트(278)(도4)는 액추에이터(276)에 의해서 열린다. 진공내 로봇(132)은 하나의 단부 이펙터를 로드 록(117) 내로 이동시켜 로드 록의 내부에서 처리되지 않은 웨이퍼를 제거한다(306). 도6에서, 팔(220,221)을 갖는 하나의 단부 이펙터가 로드 록(117)으로부터 웨이퍼를 회수하고 웨이퍼를 아치형 경로(P)를 통해 워크피스 프로세싱 모듈로 회전시키는데, 여기서 로봇은 웨이퍼를 웨이퍼 척에 두거나 웨이퍼 척으로 보낸다(307). 진공내 로봇(132)의 팔(220a,221a)을 갖는 제2 단부 이펙터는 이온 주입 챔버(130) 내부의 프로세싱 모듈에서 척으로부터 프로세싱되어온 웨이퍼를 이미 제거한 것으로 도시된다.
빔(B)에 의한 웨이퍼의 이온 주입(308) 이후에, 로봇(132)은 웨이퍼를 회수하고(309), 웨이퍼를 아치형 경로(P)를 통해서 되돌리며, 처리된 워크피스를 최하부 로드 록(117)에 위치시킨다(310). 그리고 제어기(200)는 밸브(V2)에 의해서 최하부 로드 록(117)을 환기시키고 공기중 로봇(148)이 처리된 워크피스를 최하부 로드 록으로부터 뽑아서(312), 웨이퍼를 FOUP(142) 내에 다시 위치시킨다.
이동 경로의 순서는 FOUP(142)로부터 모아진 각각의 연속적인 처리되지 않은 웨이퍼에 대해 반복된다. 로드 록(117)이 처리된 웨이퍼가 ROUP(142)로 다시 이동되도록 허용하기 위해서 환기될 때, 로봇(146)은 처리된 웨이퍼의 제거 후에 처리되지 않은 웨이퍼를 최하부 로드 록(117)에 위치시킬 수 있다. 유사하게 처리되기 위해 남겨진 웨이퍼가 로드 록으로부터 제거될 때, 이미 처리된 웨이퍼는 진공내 로봇 팔의 제2 단부 이펙터에 의해서 비워진 로드 록 내로 삽입될 수 있다.
도3에 도시된 바와 같이, 다수의 웨이퍼는 도6의 경로를 따라 일제히 통로에 있다. 세 개의 다른 웨이퍼 주입 순서는 웨이퍼가 FOUP(142)로 다시 돌아가기(313) 전에 시작된다(단계300a,300b,300b). 웨이퍼는 두 개의 로드 록 사이에서 교체되어 FOUP(142)로부터 웨이퍼를 뽑는 것(picking)(300a)을 시작하는 다음 순서 동안에, 웨이퍼가 최상부 로드 록(116)으로 보내진다. 도시된 실시예에서, 본 발명의 실행을 위한 요구 사항이 아닐지라도 웨이퍼가 이온 빔 프로세싱 다음에 진공을 빠져나가는 동일한 로드 록을 통해 웨이퍼가 진공을 통과한다. 예시적인 실시예와 함께 경험은 FOUP(142)로부터 FOUR(142)로 다시 돌아가는 완전한 왕복 운동이 2초라면, 네 개의 웨이퍼의 동시적인 움직임이 웨이퍼당 평균 시간이 T/4초로 감소된다는 것으로 보여진다.
도8은 웨이퍼를 프로세싱하는 단계의 순서를 도시하도록 한다. 이런 도면의 x 축은 증가하는 시간에 대응한다. 여러 단계가 동일한 길이로 도시될지라도, 여러 단계가 전형적으로 동일한 시간을 취하지 않고 예시적인 실시예의 실행 동안에 이런 단계에 대한 동일하지 않은 시간을 경험한다는 것이 인식된다.
도7은 주입기 단부 스테이션(110)에서 저압 또는 진공에서 다수의 워크피스를 연속적으로 실행하는 웨이퍼 경로(도7에 도시된 화살표) 또는 프로세싱 움직임의 대안적인 순서를 도시한다. 로봇(146)은 더 높은 압력(대기압) 영역(118)에 위치된 FOUR(141)로부터 웨이퍼를 모은다. 로봇(146)은 웨이퍼를 FOUP(141)로부터 얼라이너(150)로 웨이퍼를 이동시킨다. 제2 공기중 로봇(148)은 얼라이너(150)로부터 웨이퍼를 회수하고 웨이퍼를 제1 로드 록(116:여기서는, 최상부 로드 록)으로 이동시켜 처리되지 않은 웨이퍼를 로드 록(116)에 위치시킨다.
제1 로드 록(116)은 펌프(204)에 의해 비워져서(배기되어) 압력이 감소되고, 일단 비워지면, 밸브 플레이트(277)는 액추에이터(275)에 의해서 열린다. 진공내 로봇(132)은 하나의 단부 이펙터를 로드 록(116)으로 이동시켜 처리되지 않은 웨이퍼를 제1 로드 록의 내부에서 제거한다.
빔 프로세싱 이후에, 로봇(132)은 웨이퍼를 회수하고 웨이퍼를 아치형 경로(P)를 통해 되돌리며 처리된 워크피스를 제2 최하부 로드 록(116)에 위치시킨다. 그 후에 제어기는 제2 로드 록(116)을 밸브(V1)에 의해서 환기시키고 공기중 로봇(146)은 FOUP(141)로 다시 이동시키기 위해서 처리된 워크피스를 최하부 로드 록(116)으로부터 제거한다. 도6 및 도7에 도시된 각각의 이동 경로의 공통적인 특징으로 로드 록으로의 경로에서 얼라이너(150)를 통한 움직임이다.
본 발명은 묘사의 정밀한 정도 따라 설명된다. 표1에 도시된 시간은 이런 정보의 범위를 제한하고자 하는 것도 아니고 프로세싱 단계의 순서들이 본 발명을 제한하고자 하는 것도 아니다. 본 발명은 첨부된 청구항의 정신 또는 범위 내에 존재하는 개시된 예시적인 실시예로부터의 모든 수정 및 변경을 포함한다고 여겨진다.

Claims (25)

  1. 저압에서 워크피스를 프로세싱하는 툴을 사용하기 위한 워크피스 이송 시스템에 있어서,
    a) 워크피스를 고압 영역으로부터 저압 영역으로, 그리고 상기 고압 영역으로 다시 이동시키기 위해 로드 록 하우징 내에 수용되고, 두 개의 상이한 방향으로부터 접근하도록 허용하기 위해서 상이한 각도에서 상기 고압 영역과 대면하는 제1 및 제2 액세스 개구를 포함하는 제1 격리 로드 록;
    b) 워크피스를 고압 영역으로부터 저압 영역으로, 그리고 상기 고압 영역으로 다시 이동시키기 위해 상기 제1 격리 로드 록과 근접해서 위치된 상기 로드 록 하우징 내에 수용되고, 두 개의 상이한 방향으로부터 접근하도록 허용하기 위해서 상이한 각도에서 상기 고압 영역과 대면하는 제1 및 제2 액세스 개구를 포함하며, 상기 제1 격리 로드 록과 서로 수직 방향으로 이격된 제2 격리 로드 록;
    c) 저압에서 워크피스를 프로세싱하는 단일의 워크피스 프로세싱 스테이션;
    d) 상기 제1 및 제2 격리 로드 록들 중 하나로부터 상기 워크피스 프로세싱 스테이션으로 워크피스를 이송하고, 두 개의 수직 방향으로 이격된 능동 엣지 그립 단부 이펙터(active edge grip end effectors)를 포함하고, 상기 단부 이펙터가 두 개의 팔을 포함하며, 워크피스를 획득하기 위해서 상기 제1 및 제2 격리 로드 록 내로 이동할 수 있는 제1로봇; 및
    e) 프로세싱 전에는 상기 워크피스의 소스로부터 상기 제1 또는 제2 격리 로드 록으로, 그리고 프로세스 이후에는 상기 제1 또는 제2 격리 로드 록으로부터 상기 워크피스의 목적지로 워크피스를 이송하는 상기 저압 영역 외부의 고압 영역에 위치된 다수의 다른 로봇을 포함하며, 이들 다수의 로봇 각각은 소정의 격리 로드 록의 제1 및 제2 액세스 개구 중 특정된 하나를 통해서 워크피스를 이동하기 위해서 서로 대면하는 관계로 정렬된 것을 특징으로 하는 워크피스 이송 시스템.
  2. 제 1항에 있어서,
    상기 격리 로드 록을 통해 이동되는 워크피스의 정렬을 제어하는 워크피스 얼라이너를 더 포함하는 것을 특징으로 하는 워크피스 이송 시스템.
  3. 제 1항에 있어서,
    상기 제1 및 제2 격리 로드 록 각각은,
    상기 격리 로드 록 내부에서 그 위에 워크피스를 지지하기 위한 지지부와; 대기압으로, 또는 상기 격리 로드 록 내부의 압력을 낮추기 위한 진공으로, 상기 격리 로드 록을 선택적으로 환기하는 제어 밸브를 포함하는 것을 특징으로 하는 워크피스 이송 시스템.
  4. 제 1항에 있어서,
    상기 이격된 단부 이펙터가, 어느 단부 이펙터든지 상기 제1 격리 로드 록 또는 제2 격리 로드 록들 중 하나로 이동하도록 하기 위해서, 상기 격리 로드 록에 대해 높아지거나 낮아질 수 있는 것을 특징으로 하는 워크피스 이송 시스템.
  5. 제 1항에 있어서,
    상기 제1 및 제2 격리 로드 록과 상기 워크피스 프로세싱 스테이션의 중간 위치에 위치되어, 제1로봇이 일시적으로 워크피스를 잡은 상태로 대기(파크)할 수 있도록 하는 파크 스테이션을 더 포함하는 것을 특징으로 하는 워크피스 이송 시스템.
  6. 저압에서 워크피스를 프로세싱하는 툴을 사용하기 위한 이송 장치에 있어서,
    a) 상기 저압 영역내의 단일의 워크피스 프로세싱 스테이션에서의 워크피스의 프로세싱을 위한 저압 영역을 한정하는 인클로저;
    b) 수직 방향으로 서로 이격된 두 개의 근접한 워크피스의 격리 로드 록으로서, 각각의 격리 로드 록이:
    i) 대기압 영역으로/으로부터 워크피스를 이송하기 위해서 격리 로드 록 내부에 선택적으로 대기압을 전달하고, 상이한 각도에서 상기 대기압 영역과 대면하는 제1 및 제2 액세스 개구, 및
    ii) 프로세싱을 위한 저압으로, 그리고 상기 프로세싱 후에 고압으로, 상기 격리 로드 록 내부의 워크피스를 이송하기 위한 제3 액세스 개구를 포함하는, 워크피스의 격리 로드 록;
    c) 상기 근접한 워크피스의 격리 로드 록으로부터 상기 저압 영역 내의 프로세싱 스테이션으로 워크피스를 이송하고, 두 개의 수직 방향으로 이격된 능동 엣지 그립 단부 이펙터(active edge grip end effectors)를 포함하고, 상기 단부 이펙터가 두 개의 팔을 포함하며, 워크피스를 획득하기 위해서 상기 격리 로드 록 내로 이동할 수 있는 제1로봇; 및
    d) 프로세싱 전에는 상기 워크피스의 소스로부터 상기 근접한 워크피스의 격리 로드 록으로, 그리고 프로세스 이후에는 상기 근접한 워크피스의 격리 로드 록으로부터 상기 워크피스의 목적지로 워크피스를 이송하는 상기 저압 영역 외부의 상기 대기압 영역에 위치된 다수의 다른 로봇을 포함하며, 이들 다수의 로봇 각각은 소정의 격리 로드 록의 제1 및 제2 액세스 개구 중 특정된 하나를 통해서 워크피스를 이동하기 위해서 서로 대면하는 관계로 정렬된 것을 특징으로 하는 이송 장치.
  7. 제 6항에 있어서,
    상기 근접한 워크피스의 격리 로드 록들이 하나가 다른 것의 최상부에 위치되는 것을 특징으로 하는 이송 장치.
  8. 제 7항에 있어서,
    상기 격리 로드 록의 제1 및 제2 액세스 개구는 워크피스가 상이한 이동 경로를 따라 삽입되고 격리 로드 록 지지부 상에 위치되도록 하기 위해 열리는 것을 특징으로 하는 이송 장치.
  9. 제 6항에 있어서,
    상기 제1 로봇이 워크피스를 아치형으로 이송 스테이션으로 이동시키는 것을 특징으로 하는 이송 장치.
  10. 제 9항에 있어서,
    각각의 단부 이펙터는 두 개의 격리 로드 록 중 어느 하나에서 워크피스를 능동적으로 잡을 수 있는 것을 특징으로 하는 이송 장치.
  11. 제 10항에 있어서,
    상기 로드 록들이 하나가 다른 것의 최상부에 위치되고, 상기 워크피스들은 일반적으로 편평한 웨이퍼이며, 또한 상기 두 개의 단부 이펙터가 상기 일반적으로 편평한 웨이퍼의 평면을 횡단하는 방향을 따라 위 아래로 이동하는 것을 특징으로 하는 이송 장치.
  12. 제 11항에 있어서,
    상기 두 개의 단부 이펙터는 이동 가능한 캐리지에 연결되며, 이 이동 가능한 캐리지는 상기 두 개의 단부 이펙터를 동시에 이동시킬 수 있는 것을 특징으로 하는 이송 장치.
  13. 제6항에 있어서,
    상기 격리 로드 록과 상기 워크피스 프로세싱 스테이션의 중간 위치에 위치되어, 제1로봇이 일시적으로 워크피스를 잡은 상태로 대기(파크)시킬 수 있도록 허용하는 파크 스테이션을 더 포함하는 것을 특징으로 하는 워크피스 이송 장치.
  14. 저압에서 다수의 워크피스를 연속적으로 프로세싱하는 프로세스 방법에 있어서,
    서로 근접하게 위치되며 수직 방향으로 이격된 두 개의 로드 록으로서, 상이한 각도에서 대기 영역에 대면하는 대기와의 제1 및 제2 액세스 개구를 갖는 로드 록을 위치시키는 단계;
    상기 두 개의 로드 록으로부터 단일의 프로세싱 스테이션으로 워크피스를 이송하고, 두 개의 수직 방향으로 이격된 능동 엣지 그립 단부 이펙터(active edge grip end effectors)를 포함하고, 상기 단부 이펙터가 두 개의 팔을 포함하며, 워크피스를 획득하기 위해서 상기 로드 록 중 하나 내로 이동할 수 있는 저압 로봇을 제공하는 단계;
    워크피스를 상기 두 개의 로드 록의 안팎으로 이송하기 위해서 두 개의 로드 록의 특정된 대기와의 액세스 개구와 대면하는 관계로 다수의 공기중 로봇을 위치시키는 단계;
    하나의 공기중 로봇으로 처리되지 않은 워크피스를 잡고, 상기 하나의 공기 중 로봇과 대면하는 관계를 갖는 대기와의 로드 록의 제1 액세스 개구를 통해, 상기 처리되지 않은 워크피스를 상기 두 개의 로드 록 중 제1 로드 록 내로 이동시키고, 제1 로드 록 내측에 처리되지 않은 워크피스를 위치시키는 단계;
    상기 제1 로드 록 내의 압력을 낮추는 단계;
    상기 저압 로봇의 하나의 단부 이펙터로 상기 제1 로드 록으로부터 상기 처리되지 않은 워크피스를 제거하고 상기 처리되지 않은 워크피스를 프로세싱 스테이션으로 이동시키는 단계;
    상기 저압 로봇의 제2 단부 이펙터로 상기 프로세싱 스테이션으로부터 획득된 이미 처리된 워크피스를 상기 두 개의 로드 록 중 하나의 로드 록 내에 위치시키는 단계;
    상기 하나의 로드 록 내의 압력을 높이는 단계; 및
    상기 처리된 워크피스를 상기 하나의 로드 록으로부터 특정된 대기와의 로드 록의 액세스 개구를 통해 제거하는 단계를 포함하는 것을 특징으로 하는 프로세스 방법.
  15. 제 14항에 있어서,
    처리된 워크피스가 상기 하나의 로드 록에 위치되는 동시에 처리되지 않은 워크피스가 상기 단일의 프로세싱 스테이션에서 처리되는 것을 특징으로 하는 프로세스 방법.
  16. 제 15항에 있어서,
    상기 워크피스가 반도체 웨이퍼이고 상기 저압 로봇은 웨이퍼를 끌어당기는 웨이퍼 척 상에 웨이퍼를 위치시키기 위해 상기 웨이퍼를 보내며, 상기 프로세싱 전에 상기 웨이퍼 및 척을 다시 배향하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 방법.
  17. 제 14항에 있어서,
    상기 두 개의 로드 록을 위치시키는 단계는, 하나의 로드 록 위에 다른 로드 록을 위치시키는 것을 특징으로 하는 프로세스 방법.
  18. 제 17항에 있어서,
    두 개의 단부 이펙터 중 어느 하나가 두 개의 로드 록 중 어느 하나의 로드 록 내에 워크피스를 접근할 수 있도록, 위 아래로 상기 저압 로봇의 상기 두 개의 단부 이펙터를 이동시키는 단계를 포함하는 것을 특징으로 하는 프로세스 방법.
  19. 제 18항에 있어서,
    상기 두 개의 단부 이펙터가 각각의 단부 이펙터를 독립적으로 동작시키는 구동 모터를 지지하는 캐리지 상에서 서로 동일 선상으로 고정되고, 로드 록에 대해 단부 이펙터를 위치시키기 위해서 상기 두 개의 로드 록에 대해 위아래로 상기 캐리지를 이동시키는 단계를 더 포함하는 것을 특징으로 하는 프로세스 방법.
  20. 제 14항에 있어서,
    상기 워크피스가 일반적으로 편평한 웨이퍼이고, 처리되지 않은 웨이퍼를 제1 로드 록에 삽입하는 단계 전에 웨이퍼의 배향을 정렬하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 방법.
  21. 제 19항에 있어서,
    제1 공기중 로봇으로 웨이퍼의 소스로부터 처리되지 않은 웨이퍼를 모으고, 배향을 정렬하기 위해서 얼라이너 상에 위치시키는 단계를 더 포함하고, 제2 공기중 로봇이 제1 로드 록을 통해 상기 단일의 프로세싱 스테이션으로 이송하기 위해서 상기 얼라이너로부터 정렬된 웨이퍼를 제거하는 것을 특징으로 하는 프로세스 방법.
  22. 제 14항에 있어서,
    하나 이상의 부가적인 처리되지 않은 워크피스가, 상기 하나의 로드 록으로부터 상기 처리된 워크피스의 제거 전에 공기중 로봇에 의해 소스로부터 상기 제1 로드 록으로 연속적으로 이동하는 것을 특징으로 하는 프로세스 방법.
  23. 제 22항에 있어서,
    상기 부가적인 처리되지 않은 워크피스 중 어느 하나가 상기 두 개의 로드 록 중 어느 하나의 로드 록 내에 위치되어, 이전의 워크피스를 펌프 다운된 로드 록으로부터 제거되도록 하는 한편, 상기 어느 하나의 로드 록이 처리되지 않은 워크피스를 받아들이기 위해 대기에 대해 열리도록 된 것을 특징으로 하는 프로세스 방법.
  24. 제 14항에 있어서,
    소정의 워크피스가, 프로세싱 이후에, 상기 소정의 워크피스가 프로세싱 전에 위치된 동일한 로드 록으로부터 제거되는 것을 특징으로 하는 프로세스 방법.
  25. 제 14항에 있어서,
    두 개의 로드 록과 상기 프로세싱 스테이션의 중간 위치에 위치된 파크 스테이션을 제공하는 단계를 더 포함하고, 저압 로봇은 상기 파크 스테이션에서 일시적으로 워크피스를 잡은 상태로 대기(파크)시켜서, 두 개의 단부 이펙터가 위 아래로 이동하도록 하는 것을 특징으로 하는 프로세스 방법.
KR1020067024015A 2004-04-16 2005-04-18 워크피스 프로세싱 시스템 KR101276014B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/826,419 2004-04-16
US10/826,419 US7246985B2 (en) 2004-04-16 2004-04-16 Work-piece processing system
PCT/US2005/013273 WO2006041530A2 (en) 2004-04-16 2005-04-18 Work-piece processing system

Publications (2)

Publication Number Publication Date
KR20070012490A KR20070012490A (ko) 2007-01-25
KR101276014B1 true KR101276014B1 (ko) 2013-06-19

Family

ID=35096438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024015A KR101276014B1 (ko) 2004-04-16 2005-04-18 워크피스 프로세싱 시스템

Country Status (7)

Country Link
US (2) US7246985B2 (ko)
EP (1) EP1735822A2 (ko)
JP (1) JP2007533167A (ko)
KR (1) KR101276014B1 (ko)
CN (1) CN100437898C (ko)
TW (1) TWI347295B (ko)
WO (1) WO2006041530A2 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221811A1 (en) * 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US7720558B2 (en) * 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
US9110456B2 (en) * 2004-09-08 2015-08-18 Abb Research Ltd. Robotic machining with a flexible manipulator
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
US7610115B2 (en) * 2004-12-22 2009-10-27 Intelligent Hospital Systems Ltd. Automated pharmacy admixture system (APAS)
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7381969B2 (en) * 2006-04-24 2008-06-03 Axcelis Technologies, Inc. Load lock control
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
JP4664264B2 (ja) * 2006-10-26 2011-04-06 東京エレクトロン株式会社 検出装置及び検出方法
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US8267636B2 (en) * 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
US8659205B2 (en) 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
JP5416104B2 (ja) 2007-06-27 2014-02-12 ブルックス オートメーション インコーポレイテッド セルフベアリングモータ用位置フィードバック
WO2009003186A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Multiple dimension position sensor
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR102617936B1 (ko) 2007-07-17 2023-12-27 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
KR101381832B1 (ko) * 2009-07-14 2014-04-18 캐논 아네르바 가부시키가이샤 기판 처리 장치
JP5465979B2 (ja) * 2009-10-26 2014-04-09 東京エレクトロン株式会社 半導体製造装置
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP5755842B2 (ja) * 2010-04-22 2015-07-29 株式会社ダイヘン ワーク搬送システム
CN102310999B (zh) * 2010-07-09 2013-07-17 上海凯世通半导体有限公司 真空传输制程设备及方法
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP5494617B2 (ja) * 2011-10-26 2014-05-21 株式会社安川電機 ロボットシステムおよび加工品の製造方法
CN102539263A (zh) * 2011-11-29 2012-07-04 南通大学 基于掩蔽处理技术的轻微磨损测量方法
US9139402B2 (en) * 2012-02-21 2015-09-22 Altec Industries, Inc. Retractable load guide
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
CN104016175A (zh) * 2014-05-26 2014-09-03 王义峰 一种丝网印刷机的自动输出机构
JP6257455B2 (ja) * 2014-06-17 2018-01-10 住友重機械イオンテクノロジー株式会社 イオン注入装置及びイオン注入装置の制御方法
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9776807B2 (en) * 2014-10-29 2017-10-03 Alain Cerf Changing orientation of an article on a moving conveyor
KR20170084240A (ko) * 2014-11-14 2017-07-19 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 시스템에서 기판을 이송하기 위한 로드 로크 시스템 및 방법
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
US11521869B2 (en) * 2017-03-15 2022-12-06 Lam Research Corporation Reduced footprint platform architecture with linear vacuum transfer module
CN108695217B (zh) * 2017-04-07 2021-06-29 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11307608A (ja) * 1998-04-16 1999-11-05 Nissin Electric Co Ltd 被処理物体搬送装置
JP2000012647A (ja) 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
JP2000323551A (ja) 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
KR100425364B1 (ko) 2000-03-23 2004-03-30 가부시기가이샤 산교세이기 세이사꾸쇼 더블암형 로봇

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4498832A (en) * 1982-05-21 1985-02-12 The Boc Group, Inc. Workpiece accumulating and transporting apparatus
US5003183A (en) * 1989-05-15 1991-03-26 Nissin Electric Company, Limited Ion implantation apparatus and method of controlling the same
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP2531910Y2 (ja) * 1992-03-31 1997-04-09 株式会社スガイ 物品把持装置
US5482607A (en) * 1992-09-21 1996-01-09 Nissin Electric Co., Ltd. Film forming apparatus
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW345705B (en) * 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3215643B2 (ja) * 1997-01-31 2001-10-09 ワイエイシイ株式会社 プラズマ処理装置
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
JP2000208589A (ja) * 1998-11-09 2000-07-28 Tokyo Electron Ltd 処理装置
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
GB2349269A (en) * 1999-04-19 2000-10-25 Applied Materials Inc Ion implanter
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11307608A (ja) * 1998-04-16 1999-11-05 Nissin Electric Co Ltd 被処理物体搬送装置
JP2000012647A (ja) 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
JP2000323551A (ja) 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
KR100425364B1 (ko) 2000-03-23 2004-03-30 가부시기가이샤 산교세이기 세이사꾸쇼 더블암형 로봇

Also Published As

Publication number Publication date
EP1735822A2 (en) 2006-12-27
JP2007533167A (ja) 2007-11-15
KR20070012490A (ko) 2007-01-25
CN1943009A (zh) 2007-04-04
WO2006041530A2 (en) 2006-04-20
US7699574B2 (en) 2010-04-20
TWI347295B (en) 2011-08-21
US20070243049A1 (en) 2007-10-18
US7246985B2 (en) 2007-07-24
WO2006041530A3 (en) 2006-06-08
CN100437898C (zh) 2008-11-26
US20050232727A1 (en) 2005-10-20
TW200540087A (en) 2005-12-16

Similar Documents

Publication Publication Date Title
KR101276014B1 (ko) 워크피스 프로세싱 시스템
JP4935987B2 (ja) イオン注入機と共に使用するための移送装置及びその方法
JP7263642B2 (ja) ウェハアライナ
JP5273437B2 (ja) イオンビーム注入装置用のワークピース移送システム及びその処理方法
US6860965B1 (en) High throughput architecture for semiconductor processing
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
US8096744B2 (en) Wafer processing system, wafer processing method, and ion implantation system
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
US20060263187A1 (en) Method and apparatus for unloading substrate carriers from substrate carrier transport system
JP2010512026A (ja) 高生産性ウエハノッチアライメント装置
JP4620214B2 (ja) ウェハ処理装置
TWI762518B (zh) 用於工件處理之系統與方法
JP2000012647A (ja) ウエハ搬送装置及びその方法
KR20110099339A (ko) 웨이퍼 핸들링 방법 및 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190327

Year of fee payment: 7