CN1943009A - 工件加工系统 - Google Patents

工件加工系统 Download PDF

Info

Publication number
CN1943009A
CN1943009A CNA2005800115339A CN200580011533A CN1943009A CN 1943009 A CN1943009 A CN 1943009A CN A2005800115339 A CNA2005800115339 A CN A2005800115339A CN 200580011533 A CN200580011533 A CN 200580011533A CN 1943009 A CN1943009 A CN 1943009A
Authority
CN
China
Prior art keywords
load lock
workpiece
top load
wafer
robot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800115339A
Other languages
English (en)
Other versions
CN100437898C (zh
Inventor
J·费拉拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1943009A publication Critical patent/CN1943009A/zh
Application granted granted Critical
Publication of CN100437898C publication Critical patent/CN100437898C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种传输系统,可用于在低压或真空条件下进行工件加工的装置,如对硅晶片注入的离子注入机。封闭体形成了低压区,工件可在低压区的工件加工台进行加工。两层的多工件的隔离加载锁定件将来自高压区的工件传输到低压区进行加工,在所述加工完成后返回所述高压区。第一机器人将低压区内的工件从加载锁定件传输到低压区的加工台。位于低压区外的多个其他机器人,加工前从所述工件源传输工件到两层工件隔离加载锁定件,加工后从两层工件隔离加载锁定件传输工件到工件目的位置。

Description

工件加工系统
技术领域
本发明涉及一种移动工件的系统,可从低压或真空下加工工件的装置的真空区来回移动工件。
背景技术
本专利的受让人,Axcelis Technology公司设计和销售用于制造集成电路的加工硅晶片产品。一个这种产品或装置以型号MC-3销售。该装置可形成离子束,能够改进置于离子束下的晶片的物理性质。该方法可用于掺杂硅生产半导体材料,未加工的晶片用硅制造。受控使用带有抗蚀材料的掩模,然后对晶片内离子注入和层化不同的掺杂图案,可生产出用于众多应用中一种的集成电路。
在制造集成电路的过程中还使用各种其他装置。这些装置包括在受控条件下快速热处理晶片使晶片进行退火的装置。使用其他装置来施加光致抗蚀剂于晶片上的受控图案。在灰化工艺中使用装置从晶片取下光致抗蚀剂材料。还使用其他装置将加工好的晶片切割成各个集成电路。
离子束注入机,如MC-3型注入机,的离子注入腔保持在换算压力下。在随射束线加速后,射束中的离子进入注入腔和撞击晶片。为了定位晶片于离子注入腔,用机器人将晶片从通过传送系统或其他传输机构输送到注入机的暗盒或储存机构移动到加载锁定件。
Sieradzki的美国专利No.5,486,080涉及一种高速移动进行真空加工的工件的系统。该系统使用了两个晶片传输机器人来移动晶片,从两个加载锁定件移动到加工台。另外的涉及连续终端台的专利有美国专利6,350,097,6,555,825和5,003,183。
发明内容
根据本发明的一种示例性系统涉及到离子注入机的终端台。该离子注入机可在低压或真空下加工工件。离子注入机设有传输装置,用于传输工件进出形成低压区的封闭体,工件通过工件加工模块在低压区加工。
设置了两个相邻的工件隔离加载锁定件。各加载锁定件包括两个开孔,可选择地连通大气压力到加载锁定件内部,可在大气压力下来回传输工件。加载锁定件的第三开孔允许传输工件到加载锁定件内的低压进行加工,并在加工好后返回高压下。
第一机器人从相邻的工件隔离加载锁定件传输工件到位于低压或真空区的加工模块。多个位于低压区外的其他机器人加工前从工件源传输工件到相邻的工件隔离加载锁定件,在加工后从工件隔离加载锁定件传输工件到工件目的位置。
通过阅读参考附图对本发明的示例性实施例进行的详细介绍,可更好地了解本发明的这些和其他特征。
附图说明
图1是离子注入机终端台的顶视图,终端台用于装载工件到离子注入机,从离子注入机取出加工的工件;
图2是图1的终端台的一部分的放大图;
图3是显示相对上和下加载锁定件的真空机器人的示意图,机器人用于传输工件至离子注入终端台;
图4是图3的真空机器人的一部分的放大图;
图4A是支撑马达于壳体内的机器人壳体的放大图,马达可转动机器人臂部来传输工件,从加载锁定件传输到加工模块;
图4B是闸门阀的放大图,闸门阀用于使真空中机器人接近加载锁定件内部;
图5是相邻的上和下加载锁定件和真空隔离阀的侧视图,真空隔离阀可允许工件移入和移出加载锁定件;
图6和图7显示离子束加工工件前后的工件移动顺序;和
图8是显示工件在图1的终端台前进的代表性前进步骤或位置的图。
具体实施方式
现在回到附图,图1和3显示了终端台110,其用于离子束加工装置在低压或真空下对工件,如半导体晶片112,进行加工。终端台包括两个工件隔离加载锁定件116,117(见图3),用于从高压区118(一般在大气压力下)传输工件(一般是半导体晶片)到低压区120,并可返回高压区118。在图示的示例性实施例中,两个加载锁定件116,117互相叠置。
图1所示的终端台的一个用途是每次可连续注入离子束B的离子到一个半导体晶片,离子束进入到离子注入腔130,其内部处于低压条件。机器人132设置成可从两个加载锁定件116,117其中一个取出工件,设置工件到离子注入腔130。机器人132可从两个加载锁定件116,117中任一个传输晶片,输送晶片到位于传输台134的夹具。在传输台134,机器人132将晶片设置到晶片夹具135,其可吸引工件并将工件固定到夹具上的适当位置。这些晶片夹具在现有技术中是已知的。夹具和工件然后转动到适当位置,工件在该位置被注入离子束B中的离子。夹具135转动大约90度角(晶片或工件112处于垂直位置,如图3所示)后,注入腔130中的离子束B对晶片前后扫描,如箭头137所示。
根据本发明的示例性实施例,离子束B利用电极(未显示)从一侧扫描到另一侧,电极位于到达离子注入腔130前的离子束路径的上游。其他无须进行一侧到另一侧扫描的注入晶片机构在现有技术已知。一侧到另一侧扫描形成了扇形离子束。该形状结合工件的上下扫描,如箭头137所示,可加工面对离子束的整个工件表面。
离子束加工(只需数秒钟)后,晶片夹具转回到传输台,机器人132取出工件,将加工好的工件移动回到加载锁定件116,117中的一个,传输回高压区118。在所介绍的实施例中,机器人132包括两个主动边缘夹持端部操纵件,各自具有两个臂,当加载锁定件116,117移动工件回传输台时,任一个端部操纵件可把持加载锁定件中的工件,相对加载锁定件116,117沿弧形路径P(见图1)移动。
本发明的示例性实施例可结合集成电路生产设备中的离子注入机,对半导体晶片进行离子束加工。图1示意地显示了4个前开口的统一舱140-143,用于集成电路制造设备从一个装置移动硅晶片到另一装置。高架传输机构(未显示)可分别设置这4个舱到相对终端台110的位置,位于大气中的两个机器人146,148都能达到的范围,使得机器人臂部可从加工舱取出一个或多个硅晶片。大气中的机器人可把持晶片,将晶片设置到对准器150,对准器可定位晶片于适当位置,然后插入晶片到两个加载锁定件116,117之一。
图3,4,4A的侧视图显示了真空中机器人132的细节,该机器人可从加载锁定件116,117移动晶片到低压区120。机器人132包括两个同心的通常是垂直设置的驱动轴210,211,驱动轴连接到马达214,215(见图4A),马达支撑于机器人壳体216。驱动轴受到位于壳体216顶部的铁流体密封件217的支撑并从中通过。内驱动轴210可在马达215驱动下转动,选择性定位第一端部操纵件,其具有机器人臂部220,221,臂部从上支撑件222径向延伸到绕中心线224的受控位置。第二下支撑件223连接到驱动轴211,并支撑第二端部操纵件,其具有臂部220a,221a,可绕中心线224进行受控转动。在图2的部分截面图,轴211已经转动到臂部220a,221a把持底或下加载锁定件117中晶片的位置。在图3和图4的侧视图中,上端部操纵件臂部220,221通过受控促动马达215,转动到设置晶片于传输台上夹具135的位置。
机器人上的气动促动器(未显示)可使一个枢轴转动臂部220相对固定臂部221作枢轴转动,可受控促动(通过控制器200,其可调节晶片移动通过如图1所示的终端台10),以把持枢轴转动臂部220和固定臂部221之间的晶片。当枢轴转动臂部220移动接触晶片时,如晶片112,连接在两个臂部的接触垫226在沿晶片边缘的三个点接合晶片。一旦晶片被臂部把持,连接到端部操纵件臂部的马达转动晶片到相对机器人132的旋转中心线224的新位置。
如图4A所示,马达214,215以及相关的驱动轴210,211连接到托架228,允许两个端部操纵件同时沿图4中所示的z向上下移动。移动的实现是通过马达225(见图4A),其具有连接到滚珠螺杆的输出轴,滚珠螺杆连接到托板228。通过控制器200可控带电的马达225沿直线导轨229上下移动托板228。托板228在停止或保持位置290(见图1)的沿z向的上下受控移动允许机器人的两个端部操纵件中任一个进入任一个加载锁定件。另一种方式,第一端部操纵件的臂部220,221可插入任一个加载锁定件116,117,以把持晶片,第二端部操纵件的臂部220a,221a也可插入任一个加载锁定件116或117。为了然后移动晶片到位于注入腔内的传输台的加工模块,晶片可重新定位于z向,如果其将从上加载锁定件116取出的话。
参考图4,铁流体密封件217绕其周边受到连接到托板228的可膨胀的伸缩件227的限制,当马达沿z向上下移动支撑件222,223到臂部的位置时,伸缩件收缩。这些伸缩件227允许伸缩件径向外区域保持处于大气压作用下,而晶片在传输台和加载锁定件之间前后转动通过的区域保持处于低压或真空。
加载锁定件116,117分别具有两个开口,面对着高压区,用于插入未加工的晶片和从加载锁定件取出加工好的晶片。上加载锁定件116包括两个开口230,231,可通过密封门打开和关闭,密封门在注入工艺的适当时间通过控制器200封闭加载锁定件的朝外表面。类似方式,下加载锁定件117包括两个开口232,233,可通过密封门打开和关闭,在注入工艺的适当时间密封门密封加载锁定件的朝外表面。
为了设置晶片到加载锁定件,适当的闸门阀或闸门必须从对应的开口移开,通过大气中的机器人146,148中的一个将晶片插入到加载锁定件。在图4的侧视图中,显示出加载锁定件开口230,232,机器人148的端部操纵件280显示出相对上加载锁定件的开口230设置。端部操纵件280将晶片插入加载锁定件并将晶片放置到星状支撑件240,其具有径向延伸的臂部241,242,243(见图2),臂部支撑晶片于某位置,该位置允许晶片被真空中机器人132的端部操纵件把持。为把持晶片和移动晶片到传输台,机器人臂部转动通过两个开口260,262中适合的一个,如图4所示。
如图4的侧视图所示,加载锁定件包括两个透明窗口244,245,在窗口附近设置了两个光学传感器246,247,用于监测晶片传输到加载锁定件。传感器检测星状支撑件240上的晶片的存在和对准,将信号传回到控制器200。
图5显示了上和下加载锁定件116,117,和打开和关闭加载锁定件的结构,以便通过空气中机器人插入和取出晶片。上加载锁定件具有两个闸门阀266,267,阀门包括两个板,互相相对倾斜成大约90度,并连接到气动促动器268,269。板具有这样的角度意味着任一个机器人146,148可从加载锁定件获得晶片或输送晶片到加载锁定件。类似地,下加载锁定件具有两个闸门阀270,271,并连接到气动促动器272,273。各阀门位于各自的加载锁定件的一个开口中。阀门包括周边密封件,围绕板延伸以保证板和对应开口的空气密封接合。为了晶片可插入到开口中,板首先从其开口沿通常正交于加载锁定件外表面的方向移开。向外移动的实现是通过连接到板的活塞274(例如)。一旦板与开口通过活塞充分间隔开,与其相连的促动器从加载锁定件沿离开加载锁定件的路径横向移动相关的板足够的距离,使得空气中的机器人的端部操纵件280可插入晶片到加载锁定件的开口,设置晶片到星状支撑件240。阀271的盖板向下移动,如图5的上下箭头所示。板移动密封开口和打开密封是通过控制器200控制专用促动器的活塞的促动来实现。
在加载锁定件的真空侧,系统包括连接到闸门阀277,278的上和下促动器275,276。阀门和促动器受到阀门壳体281的支撑。参考图4和4B,阀门壳体281设置在加载锁定件116,117和机器人壳体216之间。闸门阀277,278沿z方向上下移动,打开和关闭通到加载锁定件内部的开口269,262,机器人的臂部旋转通过开口移动晶片进出真空区。如图4B所示,闸门阀277设有活塞和板,板支撑密封件283,密封件可密封接合闸门阀壳体281的形成开口260的表面。伸缩件279围绕闸门阀的活塞,允许加载锁定件的促动器275位于大气中,而闸门位于低压或真空中。
空气中的机器人148,其设计与机器人132的设计不同。空气中的机器人148设有端部操纵件280,可使得支撑于端部操纵件的工件相对机器人148的中心线282沿径向向外或内移动。这些端部操纵件280还可绕机器人中心线282枢轴转动。当加载锁定件的门或盖266打开,端部操纵件移动晶片进入加载锁定件并将晶片设置在星状支撑件240。机器人148是选择性柔顺安装机器人臂(SCARA)型机器人,半导体加工工业已公知。
在两个加载锁定件116,117和传输台的中间位置,终端台110包括停放台290,机器人可将其把持的晶片暂时停放在停放台。在适合的情况下,两个端部操纵件可转动,移动到该停放台290,臂部可带有晶片或不带有。如图6所示,机器人臂部220a,221a的下端部操纵件停靠在这个位置,臂部保持着晶片。停靠台的移动是重要的初始步骤,然后对马达225的受控促动作出反应,机器人臂部的两个端部操纵件同时上或下移动。
图6显示出,在低压或真空下在注入机的终端台110,连续加工多个工件的加工运动的次序或晶片路径(见图6所示的箭头)。该步骤次序还显示在图8的图表中。图中的第一步,从位于较高压力区118(大气中)的FOUP142,机器人148收集或拾取晶片(图8的步骤300)。机器人148从FOUP142移出晶片并放置晶片于对准器150(步骤301)。对准器然后对准晶片(步骤302)。在图6中的第二个空气中的机器人146从对准器150取出或拾取晶片并将其移动到第一下加载锁定件117(步骤303),并将未加工的晶片放入加载锁定件117(步骤304)。加载锁定件117连接到阀门V2,阀门连通加载锁定件内部到泵204(步骤305),在盖270已经放置在开口232后,泵可降低加载锁定件内的压力。参考图5可看到,加载锁定件117被泵向下,这不意味着上加载锁定件116处于特定压力下,因为上加载锁定件116连接到单独的促动阀V1,两个加载锁定件互相是隔离的。
加载锁定件117被泵204抽空,以降低第一加载锁定件内的压力。一旦加载锁定件117被抽到低压或真空,阀板278(见图4)被促动器276打开。真空中的机器人132移动一个端部操纵件进入加载锁定件117,从加载锁定件的内部取出未加工的晶片(步骤306)。如图6所示,一个设有臂部220,221的端部操纵件从加载锁定件117取出晶片,通过弧形路径P转动到工件加工模块134,机器人设置或传输晶片到晶片夹具(步骤307)。真空中的机器人132的设有臂部220a,221a的第二端部操纵件显示出,已经有从加工模块的夹具取出的加工好的晶片,加工模块位于离子注入腔130的内部。
当晶片被离子束B离子注入(步骤308)后,机器人132取出晶片并通过弧形路径P返回(步骤309),将加工好的工件放入下加载锁定件117(步骤310)。控制器200然后通过阀门V2连通下加载锁定件117(步骤311),空气中的机器人148从下加载锁定件117取下加工好的工件(步骤312),将其送回到FOUP142(步骤313)。
对于从FOUP 142拾取的各个后续的未加工晶片,重复进行这一系列的传输路径。当加载锁定件117连通,允许加工好的晶片移动回到FOUP142时,取出加工好的晶片后机器人146将未加工的晶片设置到下端部操纵件117。类似地,当待加工的晶片从加载锁定件取出后,真空中机器人的臂部的第二端部操纵件可将已经加工好的晶片插入抽空的加载锁定件。
如图8所示,多个晶片可同时沿图6的路径传输。在加工好的晶片送回到FOUP142(步骤313)之前另外三个晶片注入程序已启动(处于步骤300a,300b,300c)。晶片在两个加载锁定件之间交接,使得下一个程序开始从FOUP142取出晶片(步骤300a)期间,晶片输送到上端部操纵件116。在图示的实施例中,晶片进入真空和在离子束加工后离开真空使用同一个加载锁定件,虽然这不是实施本发明所要求的。示例性实施例已经显示出,如果从FOUP142回到FOUP142的完整移动是T秒钟,并同时移动4个晶片的话,每个晶片的平均时间减少到T/4秒。
图8显示了晶片通过步骤的次序。该图的x轴代表增加的时间。虽然不同步骤显示出具有相同的长度,应当理解不同的步骤一般具有不同的时间,在实施示例性实施例的过程中,这些步骤花费不同的时间。
图7显示了另一种加工移动或晶片路径的次序(见图7中的箭头),可用于在低压或真空下在注入机终端台110连续加工多个工件。机器人146从位于高压区118(大气下)的FOUP141取出晶片。机器人146从FOUP142移动晶片到对准器150。第二个空气中的机器人148从对准器150取下晶片,移动到第一上加载锁定件116,并将未加工的晶片放置到加载锁定件116。
第一加载锁定件116被泵204抽空减少压力,一旦抽真空,阀板277被促动器275打开。真空内的机器人132移动端部操纵件进入加载锁定件116,从第一加载锁定件的内部取出未加工的晶片。
在离子束加工后,机器人132取出晶片,通过弧形路径P送回,将加工好的工件放置到第二下加载锁定件116。控制器200然后通过阀门V1使第二加载锁定件连通,空气中的机器人146从下加载锁定件116取出加工好的工件,传送回FOUP 141。图6和图7所示的各传输路径的共同特征是,移动到加载锁定件的路径要通过对准器150。
本发明已经以一定的特殊性进行了介绍。表一显示的时间不能用于限制本发明的范围,加工步骤的次序也不能用来限制本发明。应当认识到,本发明包括所有的对公开的示例性实施例的改进和变化,这些变化和改进未脱离所附权利要求的精神和范围。

Claims (25)

1.一种工件传输系统,可用于低压下加工工件的装置,所述系统包括:
a)第一隔离加载锁定件,用于从高压区传输工件到低压区,和返回所述高压区;
b)第二隔离加载锁定件,位于第一工件隔离加载锁定件附近,用于从高压区传输工件到低压区,和返回所述高压区;
c)工件加工台,用于在低压下加工工件;和
d)机器人,可从所述第一和第二工件隔离加载锁定件其中一个传输工件到工件加工台。
2.根据权利要求1所述的传输系统,其特征在于,所述系统还包括工件对准器,用于控制通过工件隔离加载锁定件移动的工件的对准。
3.根据权利要求1所述的传输系统,其特征在于,所述第一和第二隔离加载锁定件包括:
加载锁定件壳体,具有两个侧入口,可打开和关闭,以允许工件从不同方向插入加载锁定件壳体内部;所述壳体包括支承件,可支承置于壳体内的支承件上的工件;和
控制阀门,可选择地连通加载锁定件壳体内部到大气和到真空源,以降低加载锁定件壳体内部的压力。
4.根据权利要求1所述的传输系统,其特征在于,所述第一和第二隔离加载锁定件沿垂直方向间隔开,机器人包括两个间隔开的端部操纵件,可移动到第一和第二隔离加载锁定件以得到工件。
5.根据权利要求4所述的传输系统,其特征在于,间隔开的端部操纵件可相对加载锁定件上升和下降,允许任一端部操纵件移动到所述第一或第二加载锁定件。
6.一种传输装置,可用于低压下加工工件的装置,所述传输装置包括:
a)封闭体,形成低压区,可在低压区的工件加工台加工工件;
b)两个相邻的工件隔离加载锁定件,其中各加载锁定件包括:
i)两个开口,可选择地连通大气压力到加载锁定件内部,来回传递工件到大气压力下;和
ii)第三开口,可将加载锁定件内的工件传递到低压区进行加工,在所述加工后返回到高压区;
c)第一机器人,用于从相邻的工件隔离加载锁定件传输工件到低压区的加工台;和
d)其他的多个机器人,位于低压区外,加工前从所述工件源传输工件到相邻的工件隔离加载锁定件,并在所述加工完成后,从所述工件隔离加载锁定件传输工件到工件目的位置。
7.根据权利要求6所述的传输装置,其特征在于,所述相邻的工件隔离加载锁定件互相叠置。
8.根据权利要求7所述的传输装置,其特征在于,所述加载锁定件的两个开口可打开,允许工件沿不同移动路径插入并置于加载锁定件的支承件上。
9.根据权利要求6所述的传输装置,其特征在于,所述第一机器人移动电弧中的工件到传输台。
10.根据权利要求9所述的传输装置,其特征在于,所述第一机器人具有两个端部操纵件,其中各端部操纵件可主动把持各加载锁定件中的工件。
11.根据权利要求10所述的传输装置,其特征在于,所述加载锁定件互相叠置,所述工件一般是平面晶片,此外两个端部操纵件沿横向于一般为平面的晶片的平面的方向上下移动。
12.根据权利要求11所述的传输装置,其特征在于,所述两个端部操纵件连接到可移动的载体,载体可同时移动两个端部操纵件。
13.一种在低压下连续加工多个工件的工艺,其包括:
提供低压机器人,其具有两个端部操纵件,用于从相邻的第一和第二加载锁定件移动工件到加工台;
移动未加工的工件到第一加载锁定件,并将未加工的工件置于第一加载锁定件;
降低第一加载锁定件内的压力;
所述低压机器人的一个端部操纵件从第一加载锁定件取出未加工的工件,将未加工的工件移动到加工台;
所述低压机器人的第二端部操纵件将从加工台得到的已经加工完的工件设置到所述第一或第二加载锁定件其中一个;
提高一个加载锁定件内部压力;和
从一个加载锁定件取出加工好的工件。
14.根据权利要求13所述的工艺,其特征在于,加工好的工件设置在一个加载锁定件的同时,未加工的工件在加工台进行加工。
15.根据权利要求14所述的工艺,其特征在于,工件是半导体晶片,其中低压机器人输送晶片并将晶片置于可吸引晶片的晶片夹具,还包括在进行加工前重新定位晶片和夹具。
16.根据权利要求13所述的工艺,其特征在于,还包括定位一个加载锁定件于第二加载锁定件上方。
17.根据权利要求16所述的工艺,其特征在于,上下移动低压机器人的两个端部操纵件,使任一个端部操纵件接近第一或第二加载锁定件内的工件。
18.根据权利要求17所述的工艺,其特征在于,所述两个端部操纵件互相共线安装于载体,载体支承驱动马达,可单独促动各端部操纵件;还包括相对加载锁定件上下移动载体,相对加载锁定件适当定位端部操纵件。
19.根据权利要求13所述的工艺,其特征在于,所述第一和第二加载锁定件的开口互相倾斜,允许工件从所述加载锁定件的空气侧以不同方向插入加载锁定件,还包括定位多个加载锁定件于空气中机器人,以移动工件进出所述加载锁定件。
20.根据权利要求13所述的工艺,其特征在于,所述工件一般是平面晶片,还包括在插入未加工的晶片到加载锁定件之前对正晶片位置。
21.根据权利要求20所述的工艺,其特征在于,还包括提供第一和第二空气中机器人,来回移动一般为平面的晶片到加载锁定件,其中第一空气中机器人从晶片源拾取晶片,放置未加工的晶片到对准器进行定位,其中第二空气中机器人从对准器取出对准的晶片,通过加载锁定件传输到加工台。
22.根据权利要求13所述的工艺,其特征在于,一个或多个另外的未加工的工件通过空气中机器人连续从工件源移动到第一和第二加载锁定件,然后从一个加载锁定件取出已加工的工件。
23.根据权利要求22所述的工艺,其特征在于,一些另外的未加工的工件设置在所述第一和第二加载锁定件中的另一加载锁定件,允许从泵下的加载锁定件取下前面的工件,同时另一个加载锁定件打开连通大气,以接受未加工的工件。
24.根据权利要求13所述的工艺,其特征在于,给定工件在加工后从加载锁定件取下,该加载锁定件是所述给定工件加工前所在的同一锁定件。
25.一种在低压下连续加工多个工件的装置,包括:
第一和第二加载锁定件,所在位置互相靠近;
第一低压机器人,具有两个端部操纵件,可绕机器人的中心线转动,将工件从第一和第二加载锁定件移动到加工台;
空气中第二机器人,可移动未加工的工件到第一加载锁定件并将未加工的工件置于第一加载锁定件内部;
泵,可对第一加载锁定件抽空,以减少第一加载锁定件内的压力;和
控制器,可使第一机器人用所述第一机器人的一个端部操纵件从第一加载锁定件取出未加工的工件,在对第二加载锁定件加压前,用所述第一机器人的第二端部操纵件将从加工台得到的加工完的工件放置在第二加载锁定件。
CNB2005800115339A 2004-04-16 2005-04-18 工件加工系统 Expired - Fee Related CN100437898C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/826,419 US7246985B2 (en) 2004-04-16 2004-04-16 Work-piece processing system
US10/826,419 2004-04-16

Publications (2)

Publication Number Publication Date
CN1943009A true CN1943009A (zh) 2007-04-04
CN100437898C CN100437898C (zh) 2008-11-26

Family

ID=35096438

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800115339A Expired - Fee Related CN100437898C (zh) 2004-04-16 2005-04-18 工件加工系统

Country Status (7)

Country Link
US (2) US7246985B2 (zh)
EP (1) EP1735822A2 (zh)
JP (1) JP2007533167A (zh)
KR (1) KR101276014B1 (zh)
CN (1) CN100437898C (zh)
TW (1) TWI347295B (zh)
WO (1) WO2006041530A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102310999A (zh) * 2010-07-09 2012-01-11 上海凯世通半导体有限公司 真空传输制程设备及方法
CN104016175A (zh) * 2014-05-26 2014-09-03 王义峰 一种丝网印刷机的自动输出机构
CN112689891A (zh) * 2018-09-21 2021-04-20 东京毅力科创株式会社 真空处理装置和基板输送方法
CN115916478A (zh) * 2020-09-02 2023-04-04 应用材料公司 用于将装载锁定件整合到工厂接口占地面积空间中的系统和方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221811A1 (en) * 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US7720558B2 (en) * 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
US9110456B2 (en) * 2004-09-08 2015-08-18 Abb Research Ltd. Robotic machining with a flexible manipulator
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
US7610115B2 (en) * 2004-12-22 2009-10-27 Intelligent Hospital Systems Ltd. Automated pharmacy admixture system (APAS)
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7381969B2 (en) * 2006-04-24 2008-06-03 Axcelis Technologies, Inc. Load lock control
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
JP4664264B2 (ja) * 2006-10-26 2011-04-06 東京エレクトロン株式会社 検出装置及び検出方法
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US8267636B2 (en) * 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
KR101496654B1 (ko) 2007-06-27 2015-02-27 브룩스 오토메이션 인코퍼레이티드 리프트 능력 및 감소된 코깅 특성들을 가지는 전동기 고정자
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
CN102007366B (zh) 2007-06-27 2014-06-18 布鲁克斯自动化公司 多维位置传感器
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
CN101790673B (zh) 2007-06-27 2013-08-28 布鲁克斯自动化公司 用于自轴承电机的位置反馈
KR20100056468A (ko) 2007-07-17 2010-05-27 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
KR101381832B1 (ko) * 2009-07-14 2014-04-18 캐논 아네르바 가부시키가이샤 기판 처리 장치
JP5465979B2 (ja) * 2009-10-26 2014-04-09 東京エレクトロン株式会社 半導体製造装置
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP5755842B2 (ja) * 2010-04-22 2015-07-29 株式会社ダイヘン ワーク搬送システム
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP5494617B2 (ja) * 2011-10-26 2014-05-21 株式会社安川電機 ロボットシステムおよび加工品の製造方法
CN102539263A (zh) * 2011-11-29 2012-07-04 南通大学 基于掩蔽处理技术的轻微磨损测量方法
US9139402B2 (en) * 2012-02-21 2015-09-22 Altec Industries, Inc. Retractable load guide
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
JP6257455B2 (ja) * 2014-06-17 2018-01-10 住友重機械イオンテクノロジー株式会社 イオン注入装置及びイオン注入装置の制御方法
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9776807B2 (en) * 2014-10-29 2017-10-03 Alain Cerf Changing orientation of an article on a moving conveyor
KR20170084240A (ko) * 2014-11-14 2017-07-19 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 시스템에서 기판을 이송하기 위한 로드 로크 시스템 및 방법
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
US11521869B2 (en) * 2017-03-15 2022-12-06 Lam Research Corporation Reduced footprint platform architecture with linear vacuum transfer module
CN108695217B (zh) * 2017-04-07 2021-06-29 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4498832A (en) * 1982-05-21 1985-02-12 The Boc Group, Inc. Workpiece accumulating and transporting apparatus
US5003183A (en) * 1989-05-15 1991-03-26 Nissin Electric Company, Limited Ion implantation apparatus and method of controlling the same
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP2531910Y2 (ja) * 1992-03-31 1997-04-09 株式会社スガイ 物品把持装置
US5482607A (en) * 1992-09-21 1996-01-09 Nissin Electric Co., Ltd. Film forming apparatus
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW406861U (en) * 1994-07-28 2000-09-21 Semiconductor Energy Lab Laser processing system
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3215643B2 (ja) * 1997-01-31 2001-10-09 ワイエイシイ株式会社 プラズマ処理装置
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
JPH11307608A (ja) * 1998-04-16 1999-11-05 Nissin Electric Co Ltd 被処理物体搬送装置
JP2000012647A (ja) 1998-06-19 2000-01-14 Sumitomo Eaton Noba Kk ウエハ搬送装置及びその方法
JP2000208589A (ja) * 1998-11-09 2000-07-28 Tokyo Electron Ltd 処理装置
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
GB2349269A (en) * 1999-04-19 2000-10-25 Applied Materials Inc Ion implanter
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
JP3973006B2 (ja) 2000-03-23 2007-09-05 日本電産サンキョー株式会社 ダブルアーム型ロボット
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102310999A (zh) * 2010-07-09 2012-01-11 上海凯世通半导体有限公司 真空传输制程设备及方法
CN102310999B (zh) * 2010-07-09 2013-07-17 上海凯世通半导体有限公司 真空传输制程设备及方法
CN104016175A (zh) * 2014-05-26 2014-09-03 王义峰 一种丝网印刷机的自动输出机构
CN112689891A (zh) * 2018-09-21 2021-04-20 东京毅力科创株式会社 真空处理装置和基板输送方法
CN115916478A (zh) * 2020-09-02 2023-04-04 应用材料公司 用于将装载锁定件整合到工厂接口占地面积空间中的系统和方法
CN115916478B (zh) * 2020-09-02 2024-06-11 应用材料公司 用于将装载锁定件整合到工厂接口占地面积空间中的系统和方法

Also Published As

Publication number Publication date
US7246985B2 (en) 2007-07-24
EP1735822A2 (en) 2006-12-27
US7699574B2 (en) 2010-04-20
JP2007533167A (ja) 2007-11-15
KR101276014B1 (ko) 2013-06-19
CN100437898C (zh) 2008-11-26
KR20070012490A (ko) 2007-01-25
US20070243049A1 (en) 2007-10-18
WO2006041530A3 (en) 2006-06-08
US20050232727A1 (en) 2005-10-20
TW200540087A (en) 2005-12-16
WO2006041530A2 (en) 2006-04-20
TWI347295B (en) 2011-08-21

Similar Documents

Publication Publication Date Title
CN100437898C (zh) 工件加工系统
JP7263642B2 (ja) ウェハアライナ
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
KR100572910B1 (ko) 반도체 처리 시스템에 있어서의 포트 구조
US5404894A (en) Conveyor apparatus
TWI332932B (en) Work-piece treatment system having load lock and buffer
JP2007533167A5 (zh)
CN105556652B (zh) 使传输中衬底居中的处理设备
US20060263187A1 (en) Method and apparatus for unloading substrate carriers from substrate carrier transport system
KR19980071503A (ko) 반도체 제조 장치
WO2008134041A1 (en) Inertial wafer centering and effector and transport apparatus
JP2007527627A (ja) イオンビーム注入装置用のワークピース移送システム
JP2003516622A (ja) 狭い据付面積のフロントエンドローダ運搬装置
JP3299338B2 (ja) 真空処理装置
JPH07231028A (ja) 搬送装置および搬送方法
JP2005019914A (ja) 基板の授受装置
TW202427658A (zh) 基板搬送系統及基板位置調整方法
KR100721567B1 (ko) 반도체웨이퍼 얼라이너용 버퍼암장치 및 그것을 이용한얼라인방법
JPH0548949B2 (zh)
JPS62141731A (ja) ウエハハンドリング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081126

Termination date: 20200418