JP7263642B2 - ウェハアライナ - Google Patents

ウェハアライナ Download PDF

Info

Publication number
JP7263642B2
JP7263642B2 JP2020135273A JP2020135273A JP7263642B2 JP 7263642 B2 JP7263642 B2 JP 7263642B2 JP 2020135273 A JP2020135273 A JP 2020135273A JP 2020135273 A JP2020135273 A JP 2020135273A JP 7263642 B2 JP7263642 B2 JP 7263642B2
Authority
JP
Japan
Prior art keywords
wafer
end effector
edge detection
transfer arm
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020135273A
Other languages
English (en)
Other versions
JP2020188288A (ja
Inventor
シー ボノラ、アンソニー
グラシアーノ、ジャスト
Original Assignee
ブルックス オートメーション ユーエス、エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション ユーエス、エルエルシー filed Critical ブルックス オートメーション ユーエス、エルエルシー
Publication of JP2020188288A publication Critical patent/JP2020188288A/ja
Application granted granted Critical
Publication of JP7263642B2 publication Critical patent/JP7263642B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54406Marks applied to semiconductor devices or parts comprising alphanumeric information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

[関連出願の相互参照]
本出願は、2014年11月4日に出願された米国仮特許出願第62/075,014号の利益を主張する通常出願であって、その開示内容の全ては、参照により本明細書に組み込まれる。
[技術分野]
例示される実施形態は、概して、ウェハ処理システムに関し、特に、ウェハアライメントに関する。
一般的に、従来の半導体処理装置は、半導体処理中におけるアライメント機能およびウェハ識別機能を提供するために、特殊化された独立型のウェハアライナを利用する。これらの特殊化された独立型のウェハアライナは、一般的に、イクイップメントフロントエンドモジュール(equipment front end module)(EFEM)容器の一端(たとえば側面上)に、またはウェハソーターの容器に取り付けられる(なお、EFEMが分類機能を含んでもよい)。
特殊化された独立型のウェハアライナをEFEMの端部に設置することは、一般的に、特殊化された独立型のウェハアライナによるウェハアライメントおよびウェハ識別の実行を可能にするために、かなりのウェハ搬送ロボットの移動時間および待機時間を必要とする。ウェハ搬送ロボットの移動および待機時間は、(たとえば、ウェハカセットから、ロードロックなどの別のウェハ保持ステーションにウェハを移送するために)過大なサイクル時間をもたらし、EFEMによって処理することのできる、時間ごとのウェハの数(たとえばスループット)を大きく減少させ得る。
特殊化された独立型のウェハアライナのEFEM端部への配置は、一般的に、突出する「バンプアウト型(bumpout)容器」(たとえば、EFEMの側部から外方向に延在する箱型容器)を必要とする。これらのバンプアウト型容器は、ツールの全体実装面積を増加させ、EFEMおよび/またはウェハソーターに対する製造コストを増大させる。バンプアウト型容器は、また、内部空気内清浄性を制御するための、EFEMおよび/またはウェハソーター中を流れる超低微粒子空気の流れが、バンプアウト型容器と衝突する横方向の非層流パターンによって損なわれるため、清浄性に関する課題を生む。
特殊化された独立型のウェハアライナを用いて上記の問題に対処するEFEMおよび/またはソーター内に、オンザフライ方式のウェハアライメントおよびウェハ識別を有することは利点となり得る。
開示される実施形態の、前述の態様および他の特徴を、添付の図面に関連して、以下の記載において説明する。
開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様を組み込む処理装置の概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様を組み込む処理装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様を組み込む搬送装置の一部の概略図である。 開示される実施形態の態様によるフローダイアグラムである。 開示される実施形態の態様によるフローダイアグラムである。 従来の処理ツールにおけるウェハ搬送進路の概略図である。 開示される実施形態の態様を組み込む処理ツールにおけるウェハ搬送進路の概略図である。 図8Aの搬送進路に対応する従来のウェハ搬送時間に対応する移送動作、および図8Bの搬送進路に対応する、開示される実施形態の態様によるウェハ搬送時間に対応する移動動作を示す表である。 従来の処理ツールの一部の概略図である。
図1A~1Dおよび2A~2Gを参照すると、本明細書においてさらに説明する、開示される実施形態の態様を組み込むウェハ処理装置またはツールの概略図が示される。開示される実施形態の態様を図面に関連して説明するが、開示される実施形態の態様は、様々な形態で具体化され得ることが理解されるべきである。さらに、任意の適切なサイズ、形状または種類の要素または材料が使用されてもよい。図面は、本質的には単なる典型例であり、図中に示される特徴は、例示的なものであり、説明を目的として提示され、明記されない限り、要求通りに変更されてもよい。
以下において、より詳細に説明するように、開示される実施形態の態様は、(たとえば、少なくとも部分的にウェハを回転させるための回転駆動装置を有し、また、便宜上、本明細書ではアライナと称される)ウェハスピナと、半導体ウェハ搬送装置との一体化により、オンザフライ(on-the-fly)方式のウェハ/基板アライメントシステム499(図4D)を提供する。ここで、オンザフライ方式のアライメントとは、以下でさらに説明する(1つまたは複数の)ウェハを保持する(1つまたは複数の)エンドエフェクタなどの搬送装置、または搬送装置の一部のみによる1つまたは複数のウェハの搬送中における1つまたは複数のウェハアライメントのことに言及する。たとえば、開示される実施形態の態様は、固定式ウェハアライメントステーション/モジュール、または、移送ロボットとは異なる、対応する基準座標系を有するウェハアライメントステーション/モジュールに、移送ロボットがウェハを移送することなく、1つまたは複数のウェハの物理的アライメントを可能にする。本明細書において説明する、開示される実施形態の態様は、共通のプラットフォーム、ひいては共通の基準座標系における搬送およびアライナを提供する。以下の説明から分かるように、開示される実施形態の態様は、一態様では、イクイップメントフロントエンドモジュール(EFEM)(たとえば、基部構成としてロードポートを有する容器)およびウェハソーター内など、大気環境内で使用されるが、他の態様では、クラスタツールの移送チャンバ、および線形ツールの線形移送チャンバ、またはそれらの組み合わせなど、真空環境内で使用される。さらに他の態様では、開示される実施形態は、たとえば、XおよびZ軸の1つまたは複数においてウェハの移動距離が長い任意の適切なウェハ搬送装置において使用される(本明細書において説明する、X、Y、およびZ軸は、例示目的のみのためのものであり、他の態様では、異なる方向が任意の適切な名称で表示される)。以下にみられるように、開示される実施形態の態様は、より高いウェハスループット、ウェハ清浄性の向上、封入モジュール内における環境変動の最小化、より正確なウェハアライメント、製造コストの削減、および(たとえば、従来のシステムのバンプアウト型容器を排除することによる)ツール実装面積の縮小を提供し、そして運用性を向上させる。開示される実施形態の態様は、また、任意の適切なエンドエフェクタ(たとえば、能動型エッジグリップ、バキュームグリップ、受動型/摩擦支持部など)と適合し、ウェハアライメントおよび搬送ロボット制御間の共通制御アーキテクチャを可能にする。
図1Aおよび1Bを参照すると、たとえば、半導体ツールステーション11090などの、開示される実施形態の態様による処理装置が示される。半導体ツール11090が図中に示されるが、本明細書において説明する、開示される実施形態の態様は、ロボットマニピュレータを使用する任意のツールステーションまたは応用例に適用されてもよい。この例では、ツール11090は、クラスタツールとして示されているが、開示される実施形態の態様は、たとえば、図1Cおよび1Dに示され、ならびにその開示内容の全てが、参照により本明細書に組み込まれる、2013年3月19日に発行された、「Linearly Distributed Semiconductor Workpiece Processing Tool」と題される米国特許第8,398,355号明細書に記載されるものなどの、線形ツールステーションなどの、任意の適切なツールステーションに適用されてもよい。ツールステーション11090は、概して、大気フロントエンド11000、真空ロードロック11010、および真空バックエンド11020を含む。他の態様では、ツールステーションは、任意の適切な構成を有してもよい。フロントエンド11000、ロードロック11010、およびバックエンド11020のそれぞれの構成要素は、たとえば、クラスタ型アーキテクチャ制御などの任意の適切な制御アーキテクチャの一部であってもよい制御装置11091に接続されてもよい。制御システムは、その開示内容の全てが、参照により本明細書に組み込まれる、2011年3月8日に発行された、「Scalable Motion Control System」と題される米国特許第7,904,182号明細書に記載されるものなどの、主制御装置、クラスタ制御装置、および自律型遠隔制御装置を有する閉ループ制御装置であってもよい。他の態様では、任意の適切な制御装置および/または制御システムが利用されてもよい。
一態様では、フロントエンド11000は、概して、ロードポートモジュール11005、および、たとえば、(いくつかの実施形態ではウェハ分類機能を有する)イクイップメントフロントエンドモジュール(EFEM)などのミニエンバイロメント11060を含む。他の態様では、処理ステーションは、(真空バックエンド11020、フロントエンド11000内に位置してもよく、および/または(たとえばロードロック内などで)フロントエンド11000を真空バックエンド11020と接続してもよい)ウェハバッファ、ウェハインバータ、およびウェハ入替えステーションを含む。ロードポートモジュール11005は、300mmロードポートのSEMI規格E15.1、E47.1、E62、E19.5またはE1.9、前開き型または底開き型ボックス/ポッドおよびカセットに適合した、ボックスオープナー/ローダーツール標準(BOLTS)インターフェースであってもよい。他の態様では、ロードポートモジュールは、200mmウェハインターフェース、450mmウェハインターフェース、または、たとえば、より大型もしくはより小型のウェハまたは平面パネルディスプレーのための平面パネルのような、他の任意の適切なウェハインターフェースとして構成されてもよい。図1Aには2つのロードポートモジュール11005が示されているが、他の態様では、任意の適切な数のロードポートモジュールが、フロントエンド11000に組み込まれてもよい。ロードポートモジュール11005は、オーバーヘッド型搬送システム、無人搬送車、有人搬送車、レール型搬送車、または他の任意の適切な搬送手段から、ウェハキャリアまたはカセット11050を受容するように構成されていてもよい。ロードポートモジュール11005は、ロードポート11040を通じて、ミニエンバイロメント11060と接合してもよい。一態様では、ロードポート11040は、ウェハカセット11050とミニエンバイロメント11060との間で、ウェハの通過を可能にしてもよい。
一態様では、ミニエンバイロメント11060は、概して、本明細書において説明する、開示される実施形態の1つまたは複数の態様を組み込む任意の適切な移送ロボット11013を含む。一態様では、ロボット11013は、その開示内容の全てが、参照により本明細書に組み込まれる米国特許第6,002,840号明細書および第7,066,707号明細書に記載されるものなどの、トラック搭載ロボットであってもよく、他の態様では、任意の適切な構成を有する他の任意の適切な搬送ロボットであってもよい。ミニエンバイロメント11060は、複数のロードポートモジュール間にウェハ移送用の被制御クリーンゾーンを設けてもよい。
真空ロードロック11010は、ミニエンバイロメント11060とバックエンド11020との間に位置付けられて、ミニエンバイロメント11060およびバックエンド11020と接続されてもよい。なお、本明細書において使用される真空という用語は、ウェハが処理される、10-5Torr以下のような高真空を意味する。ロードロック11010は概して、大気および真空スロットバルブを含む。スロットバルブは、大気フロントエンドからウェハを搭載した後に、ロードロック内を排気するために使用され、窒素などの不活性ガスを用いてロック内に通気するときに、搬送チャンバ内の真空を維持するために使用される環境隔離を提供してもよい。一態様では、ロードロック11010は、処理に望ましい位置にウェハの基準をアライメントするためのアライナ11011を含み、他の態様では、ウェハアライメントは、本明細書において説明する移送ロボットを用いてもたらされる。他の態様では、真空ロードロックは、処理装置の任意の適切な場所に設置されていてもよく、任意の適切な構成および/または測定機器を有していてもよい。
真空バックエンド11020は概して、搬送チャンバ11025、1つもしくは複数の処理ステーションまたは処理モジュール11030、および、任意の適切な移送ロボット11014を含む。移送ロボット11014は、以下において説明されるが、ロードロック11010と様々な処理ステーション11030との間でウェハを搬送するために、搬送チャンバ11025内に設置されていてもよい。処理ステーション11030は、様々な、成膜、エッチング、または他の種類の処理を通じて、ウェハ上に電気回路または他の望ましい構造体を形成するために、ウェハに対して動作してもよい。典型的な処理は、限定されないが、プラズマエッチングまたは他のエッチング処理、化学蒸着(CVD)、プラズマ蒸着(PVD)、イオン注入などの注入、測定、急速熱処理(RTP)、乾燥細片原子層成膜(ALD)、酸化/拡散、窒化物の形成、真空リソグラフィ、エピタキシ(EPI)、ワイヤボンダ、および蒸発のような、真空を使用する薄膜処理、または他の真空圧を使用する薄膜処理を含む。搬送チャンバ11025から処理ステーション11030に、またはその逆に、ウェハを通過させることを可能にするために、処理ステーション11030は、搬送チャンバ11025に接続される。一態様では、ロードポートモジュール11005およびロードポート11040は、ロードポートに取り付けられるカセット11050が、移送チャンバ11025の真空環境および/または処理モジュール11030の処理真空と実質的に直接適合する(たとえば、処理真空および/または真空環境が、処理モジュール11030とカセット11050との間で延在し、共通である)ように、真空バックエンド11020に実質的に直接連結される(たとえば、一態様では、少なくともミニエンバイロメント11060が省略され、他の態様では、真空ロードロック11010も省略されて、カセット11050が、真空ロードロック11010と類似の方法で真空にまで排気される)。
次に図1Cを参照すると、ツールインターフェースセクション2012が、概して搬送チャンバ3018の長手方向軸Xに(たとえば内向きに)向くが、長手方向軸Xからずれるように、ツールインターフェースセクション2012が搬送チャンバモジュール3018に取り付けられている、線形ウェハ処理システム2010の概略平面図が示されている。搬送チャンバモジュール3018は、すでに参照により本明細書に組み込まれた、米国特許第8,398,355号明細書に記載されたように、他の搬送チャンバモジュール3018A、3018I、3018Jを接続部2050、2060、2070に取り付けることによって、任意の適切な方向に延長されてもよい。各搬送チャンバモジュール3018、3018A、3018I、3018Jは、ウェハを、処理システム2010の全体に亘って、および、たとえば、処理モジュールPMの内外へ搬送するために、本明細書において説明する、開示される実施形態の1つまたは複数の態様を含んでもよい、任意の適切なウェハ搬送部2080を含んでいる。理解できるように、各チャンバモジュールは、隔離された、または制御された雰囲気(たとえば、N2、清浄空気、真空)を維持することが可能であってもよい。
図1Dを参照すると、線形搬送チャンバ416の長手方向軸Xに沿った、例示的な処理ツール410の概略的な立面図が示されている。図1Dに示される、開示される実施形態の態様では、ツールインターフェースセクション12は典型的に、搬送チャンバ416に接続されてもよい。この態様では、インターフェースセクション12は、ツール搬送チャンバ416の一方の端部を画定してもよい。図1Dに見られるように、搬送チャンバ416は、たとえば、インターフェースステーション12から反対の端部に、別のワークピース進入/退出ステーション412を有していてもよい。他の態様では、搬送チャンバからワークピースを挿入/除去するための、他の進入/退出ステーションが設けられてもよい。一態様では、インターフェースセクション12および進入/退出ステーション412は、ツールからのワークピースの搭載および取出しを可能にしてもよい。他の態様では、ワークピースは、一方の端部からツールに搭載され、他方の端部から取り除かれてもよい。一態様では、搬送チャンバ416は、1つまたは複数の搬送チャンバモジュール18B、18iを有してもよい。各チャンバモジュールは、隔離された、または制御された雰囲気(たとえば、N2、清浄空気、真空)を保持することが可能であってもよい。既に述べられたように、図1Dに示される搬送チャンバ416を形成する搬送チャンバモジュール18B、18i、ロードロックモジュール56A、56、およびワークピースステーションの構成/配置は例示的なものに過ぎず、他の態様では、搬送チャンバは、任意の望ましいモジュール配置で配置された、より多くのまたはより少ないモジュールを有してもよい。示される態様では、ステーション412はロードロックであってもよい。他の態様では、ロードロックモジュールは、(ステーション412に類似の)端部進入/退出ステーションの間に設置されてもよく、または、隣の(モジュール18iに類似の)搬送チャンバモジュールは、ロードロックとして動作するように構成されてもよい。
既に述べられたように、搬送チャンバモジュール18B、18iは、搬送チャンバモジュール18B、18iに設置され、本明細書において説明する、開示される実施形態の1つまたは複数の態様を含んでもよい1つまたは複数の対応する搬送装置26B、26iを有してもよい。それぞれの搬送チャンバモジュール18B、18iの搬送装置26B、26iは、搬送チャンバ内に線形に分散されたワークピース搬送システム420を提供するために連携してもよい。この態様では、搬送装置26Bは、一般的なSCARAアーム構成を有してもよい(他の態様では、搬送アームは、たとえば、図1Aおよび1Bに図示されるクラスタツールの搬送装置11013、11014、図2Iに示される線形摺動アーム214、または任意の適切なアーム連係機構を有する他の適切なアームに実質的に類似の配置などの、他の任意の所望の配置を有してもよい)。アーム連係機構の適切な例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2013年4月16日に発行された米国特許第8,419,341号明細書、ならびに、2011年11月10日に出願された、「Dual Arm Robot」米国特許出願第13/293,717号明細書、および2013年9月5日に出願された、「Linear Vacuum Robot with Z Motion and Articulated Arm」と題される米国特許出願第13/861,693号明細書に見ることができる。開示される実施形態の態様では、少なくとも1つの移送アームは、アッパーアーム、バンド駆動式フォアアーム、およびバンド拘束式エンドエフェクタを含む、従来のSCARA(水平多関節ロボットアーム)型設計から、または伸縮アーム、もしくは直交座標線形摺動アームなどの他の任意の適切なアーム設計から得られてもよく、そのような設計のいずれも、本明細書においてさらに説明されるスライド体420、アライメントシステム499、および(1つまたは複数の)エンドエフェクタ420A、420B~420nを含む。たとえば、一態様では、スライド体420は、任意の適切な関節式搬送アームのアームリンクに取り付けられる。搬送アームの適切な例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2008年5月8日に出願された、「Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism」と題される米国特許出願第12/117,415号明細書、および2010年1月19日に発行された、米国特許第7,648,327号明細書に見ることができる。移送アームの動作は、互いから独立してもよく(たとえば、各アームの伸長/後退は、他のアームから独立してもよい)、ロストモーションスイッチによって動作されてもよく、またはアームが少なくとも1つの共通駆動軸を共有するように、任意の適切な方法で、動作可能に連結されてもよい。さらに他の態様では、搬送アームは、フロッグレッグアーム216(図2H)構成、リープフロッグアーム217(図2K)構成、左右対称型アーム218(図2J)構成などの、他の任意の望ましい構成を有してもよい。搬送アームの適切な例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2001年5月15日に発行された米国特許第6,231,297号明細書、1993年1月19日に発行された米国特許第5,180,276号明細書、2002年10月15日に発行された米国特許第6,464,448号明細書、2001年5月1日に発行された米国特許第6,224,319号明細書、1995年9月5日に発行された米国特許第5,447,409号明細書、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2011年11月10日に出願され、「Dual Arm Robot」と題された米国特許出願第13/293,717号明細書、および2011年10月11日に出願され、「Coaxial Drive Vacuum Robot」と題された米国特許出願第13/270,844号明細書に見られる。
図1Dに示される、開示される実施形態の態様では、搬送装置26Bのアームおよび/またはエンドエフェクタは、取り出し/配置場所から素早くウェハを交換する搬送を可能にする、いわゆる迅速交換配置(fast swap arrangement)を提供するように配置されてもよい。搬送装置26Bは、任意の適切な数の自由度(たとえば、Z軸運動を伴う、肩および肘関節部の周りの独立回転)を各アームに提供するために、任意の適切な駆動部(たとえば、同軸配置駆動シャフト、並置駆動シャフト、水平方向に隣接するモータ、垂直方向に積み重ねられたモータなど)を有してもよい。図1Dに見られるように、この態様では、モジュール56A、56、30iは、搬送チャンバモジュール18Bと18iとの間に介在して設置されてもよく、適切な処理モジュール、(1つまたは複数の)ロードロック、(1つまたは複数の)バッファステーション、(1つまたは複数の)測定ステーション、または他の任意の望ましい(1つまたは複数の)ステーションを画定してもよい。たとえば、ロードロック56A、56、およびワークピースステーション30iなどの中間モジュールはそれぞれ、搬送チャンバの線形軸Xに沿った搬送チャンバの全長に亘って、ワークピースの搬送を可能にするために搬送アームと連携する静止型ワークピース支持部/棚56S、56S1、56S2、30S1、30S2を有する。例として、(1つまたは複数の)ワークピースが、インターフェースセクション12によって、搬送チャンバ416に搭載されてもよい。(1つまたは複数の)ワークピースは、インターフェースセクションの搬送アーム15を用いて、ロードロックモジュール56Aの(1つまたは複数の)支持部上に位置決めされてもよい。ロードロックモジュール56A内で、(1つまたは複数の)ワークピースは、モジュール18B内の搬送アーム26Bによって、ロードロックモジュール56Aとロードロックモジュール56との間で移動させられてもよく、同様の連続的な方法で、(モジュール18i内の)アーム26iを用いて、ロードロック56とワークピースステーション30iとの間で、モジュール18i内のアーム26iを用いて、ステーション30iとステーション412との間で移動させられてもよい。(1つまたは複数の)ワークピースを反対の方向に移動させるために、この処理は全体的に、または部分的に逆行されてもよい。したがって、一態様では、ワークピースは、軸Xに沿って任意の方向に、および搬送チャンバに沿って任意の位置に移動させられてもよく、搬送チャンバと通信する、望ましいモジュール(処理モジュール、あるいは別のモジュール)に、または望ましいモジュールから、搭載または取り出されてもよい。他の態様では、静止型ワークピース支持部または棚を有する中間搬送チャンバモジュールは、搬送チャンバモジュール18Bと18iの間には設けられない。そのような態様では、隣接する搬送チャンバモジュールの搬送アームは、搬送チャンバを通してワークピースを移動させるために、ワークピースを、エンドエフェクタまたは1つの搬送アームから直接、別の搬送アームのエンドエフェクタへ受け渡してもよい。処理ステーションモジュールは、様々な、成膜、エッチング、または他の種類の処理を通じて、ウェハ上に電気回路または他の望ましい構造体を形成するために、ウェハに対し動作してもよい。ウェハが、搬送チャンバから処理ステーションに、またはその逆に、受け渡されることを可能にするために、処理ステーションモジュールは、搬送チャンバモジュールに接続される。図1Dに示された処理装置と類似の一般的特徴を有する処理ツールの適切な例は、既に参照により本明細書に組み込まれている、米国特許第8,398,355号明細書に記載されている。
次に図2A~2Dを参照すると、処理ツールが、それぞれが1つまたは複数の移送チャンバ3001~3003および複数の処理モジュール11030を有する複数のクラスタワークステーション3010~3013を有する線形処理ツール3000、3000A、3000B、3000C(たとえば、コンビネーション線形クラスタツール)として図示されている。一態様では、線形処理ツール3000、3000A、3000B、3000Cは、その開示内容の全てが、参照により本明細書に組み込まれる、2014年8月11日に発行された、「Substrate Processing Apparatus」と題される米国特許出願第14/377,987号明細書に記載されるものと実質的に類似している。一態様では、クラスタワークステーション3010~3013は、上記のバックエンド11020に実質的に類似していてもよい。クラスタワークステーション3010~3013は、1つまたは複数の移送チャンバ3020、3021、および1つまたは複数の線形移送トンネル3030によって互いに接続される。理解できるように、移送チャンバ3020、3021のそれぞれは、搬送ロボット3023を含む。さらに理解できるように、図2E~2Gを参照すると、線形移送トンネル3030は、一態様では、トンネルモジュールで形成され、トンネルモジュールは、共通トンネルを形成するために互いに接続され、トンネル内に配置され、共通トンネルの長さを横断するように構成される1つまたは複数の搬送ロボット3033を有する。たとえば、線形移送トンネル3030は、1つまたは複数の真空トンネルモジュール3030A~3030nを含む真空トンネルであり、1つまたは複数の真空トンネルモジュール3030A~3030nは、任意の適切な長さを有する真空トンネルを形成するために互いが密閉して連結されてもよい。各真空トンネルモジュール3030A~3030nは、真空トンネルモジュール間の接続を、および/または真空トンネルモジュールと本明細書で説明する処理ツールの他の任意の適切なモジュールとの接続を可能にするために、真空トンネルモジュール3030A~3030nの各端部に接続ポート3090を含む。この態様では、各真空トンネルモジュール3030A~3030nは、(本明細書で説明する、開示される実施形態の態様を含む)少なくとも1つの搬送カート2530を、それぞれの真空トンネルモジュール3030A~3030nを通過するように駆動するための、少なくとも1つの搬送カートガイド3080および少なくとも1つのモータ構成要素3081を含む。なお、ポート3090は、搬送カートがポートを通過して通行することを可能にするようにサイズ決めされる。理解できるように、2つまたは3つ以上の真空トンネルモジュール3030A~3030nが互いに連結される場合、各真空トンネルモジュール3030A~3030nの少なくとも1つの搬送カートガイド3080は、真空トンネル3030の長手方向端部3030E1、3030E2間における搬送カート2530の通行を可能にするために、真空トンネル3030に亘って延在する、実質的に連続した搬送カートガイドを形成する。真空トンネルモジュール3030A~3030nのそれぞれの少なくとも1つのモータ構成要素3081もまた、真空トンネル3030の端部3030E1、3030E2間において、搬送カートの実質的に連続した駆動移動を可能にする、実質的に連続したモータ構成要素を形成する。
図2Gを参照すると、真空トンネル3030の一部は、例示目的のみのために、2つの真空トンネルモジュール3030A、3030Bを有して示される。一態様では、エンドエフェクタ2530S、2531S上に保持されるウェハSを、真空モジュール3040などの任意の適切な基板保持ステーションに移送するために、またはウェハSを、たとえば、EFEM11060または移送モジュール3020、3021内に位置する搬送ロボットに実質的に直接受け渡しするために、各エンドエフェクタ2530S、2531Sが、所定の距離DEだけトンネルから出て伸長するように、真空トンネル3030中で動作する搬送カート2530、2530Aのエンドエフェクタ2530S、2531Sは、真空トンネル3030内で長手方向に伸長するように構成される。他の態様では、エンドエフェクタ2530S、2531Sは、任意の適切な構成または形状を有する。この態様では、エンドエフェクタ2530S、2531Sは、共通の方向、たとえば、真空トンネル3030の長手方向端部3030E1の方向を向いており、したがって、エンドエフェクタ2530S、2531Sは、基板Sを移送するために、端部3030E1を通過して伸長するのみであってもよい。理解できるように、真空トンネル3030の長手方向端部3030E2に位置する、本明細書において説明する搬送ロボットなどの、任意の自動装置は、エンドエフェクタ2530S、2531SにウェハSを実質的に直接取出しおよび設置するために、所定の量DLだけ真空トンネル3030内へと伸長するように構成される。他の態様では、エンドエフェクタ2530S、2531Sは、真空トンネル3030の両端部3030E1、3030E2から伸長するように、反対方向を向く。移送チャンバ3020、3021および線形移送トンネル3030のうちの1つまたは複数は、たとえば、上記のロードロック11010に実質的に類似のロードロック3040によって、(たとえば、処理ツールの1つまたは複数の端部に位置する)1つまたは複数のEFEM11060に接続される。
次に図3を参照して、開示される実施形態の態様を、大気搬送ロボット11013に関して説明するが、開示される実施形態の態様は、図2A~2Gに図示されるものなどの、真空搬送ロボット11014、11014A、11014B、2080、3023および2530に等しく適用可能であることが理解されるべきである。理解できるように、真空搬送ロボット11013、11014、11014A、11014B、2080、3023および2530は、少なくともXおよび/またはY方向で移動可能であるように、(以下において、より詳細に説明する)線形スライド、またはブームアームBA(既に参照により本明細書に組み込まれている、米国特許出願第14/377,987号明細書に記載される図2B)に取り付けられ、一方で、他の態様では、搬送ロボット11013、11014、11014A、11014B、2080、3023および2530は、搬送ロボットの基部362、4001A、4001Bが、Xおよび/またはY方向の移動に対して固定されるように取り付けられる。示される構成は、説明目的のみのための典型例であり、図示される構成要素の配置、形状、および設置は、要求に応じて、本発明の範囲から逸脱することなく変更されてもよい。
図3にみられるように、一態様では、搬送ロボット11013は、EFEM11060のフレーム11060Fに移動可能に取り付けられ、または他の態様では、真空トンネル3030および/または移送モジュール3018、3020、3021のフレームなど、処理ツールの任意の適切なモジュールのフレームに移動可能に取り付けられる。この態様では、搬送ロボット11013は、X、Y、Z、θ、およびR(エンドエフェクタ伸長)軸のうちの1つまたは複数に沿ってウェハを移動させるために、任意の適切な数の駆動軸を含む。たとえば、搬送ロボット11013は、搬送アーム11013TAを含み、一態様では、搬送アーム11013TAは、フレーム11060Fに移動可能に取り付けられるように、キャリッジ363に取り付けられる。キャリッジ363は、一態様では、X方向に移動可能となるように、スライド363Sに取り付けられ、一方で他の態様では、キャリッジ363Sは、X(および/またはY)方向において固定されるように、フレーム11060Fに取り付けられる。一態様では、任意の適切な駆動装置367がフレーム11060Fに取り付けられ、基部をX方向に移動させるために、任意の適切な伝動装置367Tによりキャリッジ363に駆動接続される。この態様では、伝動装置は、ベルトおよびプーリによる伝動装置であり、駆動装置は、回転駆動装置であるが、他の態様では、駆動装置は、任意の適切な伝動装置を有する、または(たとえば、キャリッジがリニアアクチュエータの駆動部を含む場合など)伝動装置を持たないキャリッジ363に駆動接続されるリニアアクチュエータである。ここで、搬送アーム11013TAは、回転駆動装置362、Z駆動コラム380、スライド体420、および1つまたは複数のエンドエフェクタを含む。回転駆動装置362は、キャリッジ363に取り付けられる任意の適切な回転駆動装置であり、Z駆動コラム380は、θ軸の周りを矢印Tの方向で(たとえば、θ方向で)回転するように、回転駆動装置362の出力部に取り付けられる。スライド体420は、Z駆動コラム380に移動可能に取り付けられ、Z駆動コラム380は、スライド体420をZ方向に移動させるための任意の適切な駆動モータおよび/または伝動装置を含む。理解できるように、Z駆動コラム380のスライド体420に対する相対位置は、以下でさらに説明する、1つまたは複数のセンサ450、451によるウェハ検出をもたらすために、エンドエフェクタ420A、420Bおよびウェハが適切に横断するための充分な間隙を提供する。
次に図4A~4Cを参照すると、1つまたは複数の(たとえば少なくとも1つの)ウェハ保持部またはエンドエフェクタ420A、420Bは、R方向に伸長および後退するように、任意の適切な方法でスライド体420に移動可能に取り付けられる。2つのエンドエフェクタ420A、420Bが、例示目的のみのために図示されているが、任意の適切な数のエンドエフェクタがスライド体420に取り付けられることが理解されるべきである。たとえば、一態様では、明細書において説明される方法による(1つまたは複数の)ウェハの搬送およびアライメントをもたらすために、単一のエンドエフェクタがスライド体420に取り付けられている。他の態様では、明細書において説明される方法による(1つまたは複数の)ウェハの搬送およびアライメントをもたらすために、複数のエンドエフェクタがスライド体420に取り付けられている。理解できるように、(1つまたは複数の)エンドエフェクタは、搬送アーム11013TAと一体となって、フレームに対して、第1方向(たとえば、X、Y、Z方向のうちの1つまたは複数)に横断し、搬送アーム11013TAに対して、第1方向とは異なる第2方向(たとえばR方向)に直線的に横断する。スライド体は、各エンドエフェクタ420A、420BをR方向に独立して移動させるように構成される、1つまたは複数の線形駆動装置425を含む。1つまたは複数の線形駆動装置425は、一態様では、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2013年12月17日に出願された、「Substrate Transport Apparatus」と題される米国仮特許出願第61/917,056号明細書に記載されるものと実質的に類似の、任意の適切な伝動装置を有する(1つまたは複数の)任意の適切な駆動装置である。エンドエフェクタ420A、420Bは、共通の伸長および後退の軸Rを有するように、互いに積み重なるようにスライド体420上に配置される。
1つまたは複数のセンサ450、451は、スライド体420に取り付けられ、ウェハの直径、ウェハの半径方向の振れ、アライメント基準FID(たとえば、ノッチ/フラット、マークまたは他の特徴部)の位置、ウェハの中心線の位置、ウェハの中心の位置、または、ウェハIDなどの、エンドエフェクタ420A、420Bによって運搬される(1つまたは複数の)ウェハに関する他の任意の適切な情報など、ウェハの1つまたは複数の所定の特徴を判定するために、ウェハの縁部を計測/検出するように配置される。理解できるように、1つまたは複数のセンサ450、451が、フレーム11060Fに対し、搬送アーム11013TAと一体となって移動するように、1つまたは複数のセンサは、搬送アーム11013TAに取り付けられ、以下で説明するように、1つまたは複数のセンサ450、451は、1つまたは複数のエンドエフェクタ420A、420Bに同時に支持される複数のウェハのうちの各ウェハのオンザフライ方式の縁部検出をもたらす共通センサである。以下において、より詳細に説明するように、1つまたは複数のセンサ450、451は、各ウェハのオンザフライ方式の縁部検出が、搬送アーム11013TA上の複数のエンドエフェクタ420A、420Bの各エンドエフェクタ420A、420Bの第2方向の横断によってもたらされ、第2方向の横断と同時に行われるように構成される。たとえば、各エンドエフェクタ420A、420Bの第2方向の横断は、(1つまたは複数の)エンドエフェクタ420A、420Bによって同時に支持される複数のウェハのうち、エンドエフェクタ420A、420B上に載置される、対応するウェハを、少なくともウェハの縁部検出をもたらすセンサ450、451に対して直線的に搬送する。一態様では、センサは、以下でより詳細に説明されるように、ウェハの縁部位置WE1、WE2および/またはウェハの基準を計測/検出するように構成される、ブレークビームセンサまたはラインスキャンセンサ/カメラ451などの、1つまたは複数の光学センサを含む。一態様では、センサ451は、ウェハの(前方縁部および後方縁部などの)縁部WE1、WE2を検出し、それを基に、搬送ロボットおよび/または基板ステーションの基準座標系など、所定の基準座標系に対するウェハの位置およびミスアライメントを識別するように構成される。例として、センサ451がブレークビームセンサである場合、センサ451は、ウェハの縁部上の点を検出する。センサ451が(CCDアレイまたは他の任意の適切なスキャナなどの)ラインスキャンセンサ/カメラである場合、センサは、(たとえば、ウェハの縁部の弧を画定する複数の点の検出、またはウェハの縁部の連続または実質的な連続スキャンによってもたらされる)ウェハの縁部の弧形を検出する。別の態様では、センサは、たとえば、ウェハ上に位置する英数字識別子、二次元コード、または他の適切な識別印(identifying indicia)などの、ウェハ識別用標識特徴部を読み取るように構成される任意の適切なカメラ450も含む。図4Dを簡単に参照すると、カメラは、一態様では、ウェハSの上部表面TS(たとえば、ウェハが位置するエンドエフェクタの反対を向く側)、底部表面BS(たとえば、ウェハが位置するエンドエフェクタの方向を向く側)、および周縁部ESのうちの1つまたは複数をスキャンするように構成される。
図4A~4Cを再度参照すると、(たとえば、上記のアライナなど)任意の適切な回転チャックまたはスピナ460が、スライド体420と一体となって移動するように、スライド体420に接続される。チャック460は、(ウェハアライメントのための位置変更をもたらす、などのために)各エンドエフェクタ420A、420Bと協働するように、そして、エンドエフェクタ420A、420B上に載置される、対応するウェハの回転、ならびにウェハ上の光学文字認識マークの検知および読取り、ウェハの基準の検出、ウェハの中心の検知、およびウェハを所定の方向に向けて、回転可能に位置決めすることのうちの1つまたは複数をもたらすように、搬送ロボット上に配置される。チャック460は、チャックの回転中心θ2が、エンドエフェクタ420A、420Bの中心線CLと実質的に一致するように、スライド体420に回転可能に取り付けられ、一方で他の態様では、チャック460は、各エンドエフェクタ420A、420Bおよびチャック460間での(1つまたは複数の)ウェハの移送を可能にする、エンドエフェクタとの任意の適切な空間的関係を有する。一態様では、チャック460は、能動型エッジグリップチャック(チャックが、ウェハの縁部を把持する可動式把持部を含む)、受動型グリップチャック(たとえば、ウェハが、チャックの受動型支持パッド上に置かれる)、またはバキュームグリップチャックである。一態様では、図4Cおよび4Dを参照すると、チャック420は、少なくとも2自由度を有する。たとえば、チャックは、軸θ2の周りを回転可能であり、軸θ2に沿ってZ方向に直線的に移動可能である。チャック460は、それぞれが駆動シャフト477を回転運動および線形運動で駆動する回転駆動装置475およびZ軸駆動装置476を含むチャック駆動装置460Dを含む。たとえば、駆動装置460Dは、駆動シャフト477が位置する回転スプライン軸受478を含む。駆動シャフト477は、回転スプライン軸受478が回転すると、駆動シャフト477が共に回転するように、回転スプライン軸受478のスプラインと接合するスプラインを含む。プーリ478Pは、回転スプライン軸受に固定され、伝動装置475Tを通して回転駆動装置475によって駆動される。理解できるように、プーリ478Pが回転すると、回転スプライン軸受478が共に回転する。駆動シャフト477は、回転スプライン軸受478内で、たとえば、垂直駆動伝動装置476Tのベルト476TBに固定されるキャリッジ477CによってZ方向に支持され、それによって、ベルトがZ方向に移動すると、キャリッジ477Cが共に移動する。ベルトは、プーリを有するZ軸駆動装置476によって、または他の任意の適切な方法によって駆動される。キャリッジ477Cは、たとえばスラスト軸受など、キャリッジ477C内の駆動シャフト477を支持するように構成される、支持部479のための任意の適切な軸受を含む。他の態様では、伝動装置476T、475Tおよび駆動装置475、476は、駆動シャフトおよび駆動シャフトに取り付けられるチャックをθ2およびZ軸において移動させるための任意の適切な構成を有する。駆動装置460Dは、スライド体420の下方に図示されるが、他の態様では、駆動装置460Dの少なくとも一部は、スライド体420の側部に位置する。
図4Dを参照すると、上記のように、処理ツール、および搬送ロボット11013(および本明細書で説明する他の搬送ロボット)などの、処理ツールの構成要素は、ウェハアライメントをもたらすために、1つまたは複数のセンサ450、451を通過する、各エンドエフェクタ420A、420B~420nの直線的横断を少なくとも周期的に繰り返し、本明細書で説明する方法で、各エンドエフェクタ420A、420B~420nに保持される、各対応するウェハの少なくとも縁部検出をもたらすように構成される1つまたは複数の制御装置11091によって制御される。ここで、(少なくとも1つまたは複数のエンドエフェクタ420A、420B~420nを含む)搬送ロボットアライメントシステム499、チャック460およびその駆動装置460D、ならびに1つまたは複数のセンサ450、451は、たとえば、制御装置11091、または本明細書で説明する方法で、搬送ロボットアライメントシステム499を動作させるように構成される他の任意の適切な制御装置に接続される。
再度、図1B、1C、2Aを、ならびに図5Aおよび5Bも参照すると、上記のように、開示される実施形態の態様が、一態様では、真空搬送ロボット11014、11014A、11014B、2080、3023に含まれる。たとえば、搬送アーム2080は、上記のものと実質的に類似のスライド体420およびエンドエフェクタ420A、420Bを含むが、この態様では、搬送アーム2080は、キャリッジ/基部4001が、Xおよび/またはY方向において静止する、または固定されるように取り付けられる。理解できるように、搬送ロボット2080は、真空環境において、搬送ロボット2080の運転を可能にするための、(一態様では、その開示内容の全てが既に参照により本明細書に組み込まれた、米国仮特許出願第61/917,056号明細書に記載されるものと実質的に類似している)スライド体420およびエンドエフェクタ420A、420Bに対して、任意の適切な密閉部および駆動装置を含む。上記のように、スライド体420は、チャック460および1つまたは複数のセンサ450、451を含む。
同様に、一態様における搬送ロボット11014は、搬送ロボット2080に類似の単一伸長軸Rロボットであるが、他の態様では、搬送ロボット11014A、11014Bは、並置基板保持領域にウェハを搬送するように構成される、複数伸長軸R1、R2ロボットである。一態様では、搬送ロボット11014A、11014Bは、その開示内容の全てが既に参照により本明細書に組み込まれた、米国仮特許出願第61/917,056号明細書に記載されるものと実質的に類似している。ここでは、各伸長軸R1、R2は、それぞれの軸R1、R2に沿って伸長するように構成される1つまたは複数のエンドエフェクタ420A1、420B1、420A2、420B2を含む。
搬送ロボット11014Aに関して、図5Aを参照すると、1つまたは複数のエンドエフェクタ420A1、420B1、420A2、420B2は、それぞれの伸長軸R1、R2に沿った移動のために、上記のものと実質的に類似の方法で、それぞれのスライド体モジュール420-1、420-2に取り付けられる。スライド体モジュール420-1、420-2は、1つまたは複数のエンドエフェクタ420A1、420B1、420A2、420B2のそれぞれの個別の伸長/後退のために構成される、任意の適切な駆動装置を含む駆動モジュール425Aに取り付けられる。駆動モジュール425Aは、上記の基部4001に実質的に類似の基部4001Aに取り付けられる。各スライド体モジュール420-1、420-2は、上記のものと実質的に類似の方法で、チャック460、および1つまたは複数のセンサ450、451を含む。
図5Bを参照すると、エンドエフェクタ420A1、420B1、420A2、420B2のそれぞれは、スライド425Sが、(1つまたは複数の)エンドエフェクタ420A1、420B1と、(1つまたは複数の)エンドエフェクタ420A2、420B2との間に配置されるように、(図4Aおよび6に示されるように、スライド体の側面から延在するのではなく)スライド体420の中心線CLに向けて配置されるそれぞれのスライド425Sから延在し、それぞれのスライド425Sに従属する。スライド425Sのそれぞれは、1つまたは複数のエンドエフェクタ420A1、420B1、420A2、420B2のそれぞれの個別の伸長/後退をもたらすために、任意の適切な駆動装置425を含む。スライド体420は、上記の基部4001と実質的に類似の基部4001Bに取り付けられ、(1つまたは複数の)エンドエフェクタ420A1、420B1に共通のチャック460および1つまたは複数のセンサ450、451、ならびに(1つまたは複数の)エンドエフェクタ420A2、420B2に共通のチャック460および1つまたは複数のセンサ450、451を含む。
次に図2Gを参照すると、真空トンネル3030の搬送システムは、1つまたは複数の真空トンネルモジュール3030A~3030nが、スライド体420Mの一部を形成し、エンドエフェクタ2530S、2531Sが、そのスライド体420Mの一部に従属し、そのスライド体420Mの一部に沿って移動する、開示される実施形態の態様を含む。スライド体420Mは、チャック460および1つまたは複数のセンサ450、451を含むプラットフォーム420Pを含む。プラットフォーム420Pは、チャック460と各エンドエフェクタ2530S、2531Sとの間でウェハが移送されるように、およびエンドエフェクタ2530S、2531Sがプラットフォーム420Pの上方を通過すると、1つまたは複数のセンサ450、451が、本明細書で説明するオンザフライ方式のウェハアライメントをもたらすために、本明細書で説明するウェハの特徴部を読取り/検出するように、スライド体内に、各エンドエフェクタ2530S、2531Sに対して位置決めされる。
次に図4A~4C、6Aおよび6Bを参照して、開示される実施形態の態様の例示的動作を説明する。理解できるように、1つまたは複数のエンドエフェクタ420A、420B~420nは、任意の適切な基板保持容器(たとえば、前面開閉輸送容器/FOUP、開閉カセットまたは輸送容器/FOSBY、など)および/もしくは任意の適切な基板保持ステーション(たとえば、処理モジュール、測定/計測ステーションなど)にウェハSを設置し、ならびに/または任意の適切な基板保持容器および/もしくは任意の適切な基板保持ステーションからウェハSを取り出すように動作する。一態様では、1つまたは複数のウェハSは、たとえば、搬送ロボット11013の1つまたは複数のエンドエフェクタ420A、420B~420nのうちのそれぞれによって取り出される(図7A、ブロック700)。搬送ロボット11013は、この例において、例示目的のみのために使用され、他の態様では、本明細書で説明する大気および/または真空搬送ロボットのいずれかが、搬送ロボット11013に関して本明細書で説明するものと実質的に類似の方法で作動される。取り出し中、エンドエフェクタ420A、420B~420nは、R方向に、ウェハ保持位置へと伸長し(たとえば直線的に横断する)、ウェハは、ウェハ保持位置から持ち上げられる。エンドエフェクタ420A、420B~420nは、対応するウェハを上に有して、ウェハ保持位置からウェハを取り外すために、R方向に後退する(たとえば、直線的に横断する)。ウェハSが、エンドエフェクタ420A、420B~420n上で、たとえば、位置P3から後退位置P1へと後退すると、ウェハSは、1つまたは複数のセンサ451、450を通過し、ウェハSの少なくとも1つの縁部WE1、WE2(たとえば、R方向に沿ったウェハの移動の進路に対して前方および/または後方の縁部)が検出される(図7A、ブロック705)。一態様では、1つまたは複数の異なるウェハWは、搬送ロボット11013の1つまたは複数のエンドエフェクタ420A、420B~420nが、それぞれ対応するウェハSを保持する(たとえば、複数のウェハが、1つまたは複数のエンドエフェクタ420A、420B~420nによって同時に保持されている)ように、他のエンドエフェクタ420A、420B~420nによって取り出される(図7A、ブロック700)。各ウェハがエンドエフェクタによって後退されると、各ウェハは、対応する少なくとも1つの縁部WE1、WE2を検出するために、1つまたは複数のセンサ150、151を通過する(図7A、ブロック705)。上記のように、(図6Bに示される)Z駆動コラム380のスライド体420に対する相対位置は、1つまたは複数のセンサ450、451によるウェハ検出をもたらすために、エンドエフェクタ420A、420Bおよびウェハが適切に横断するための充分な間隙を提供する。例示目的のみのために、一態様では、Z駆動コラム380は、エンドエフェクタの伸長および後退軸Rに対して約45°の角度で方向付けされるが、他の態様では、Z駆動コラム380は、エンドエフェクタの伸長および後退軸Rに対して任意の適切な角度で位置する。理解できるように、制御装置11091は、それぞれのエンドエフェクタ上に保持される各ウェハに対応するデータを保存するための任意の適切な記憶装置を含む。たとえば、エンドエフェクタ420Aが(1つまたは複数の)縁部WE1、WE2を後退させると、エンドエフェクタ420A上に保持されるウェハWが検出され、その位置データが制御装置の記憶装置に保存され、エンドエフェクタ420Bが(1つまたは複数の)縁部WE1、WE2を後退させると、エンドエフェクタ420B上に保持されるウェハWが検出され、その位置データが制御装置の記憶装置に保存され、以下同様である。
1つまたは複数のウェハWが、搬送ロボット11013によって搬送されている間、1つまたは複数のウェハWは選択的にアライメントされる。たとえば、制御装置11091は、チャック460上方の位置P2にウェハが選択的に位置決めされるように、対応するエンドエフェクタ420A、420B~420nを部分的に伸長させる(図7A、ブロック710)。一態様では、制御装置11091は、対応するエンドエフェクタ420A、420B~420nが上記のように後退されるときに取得される、保存された位置データを用いて、チャック460の上方にウェハを位置決めするために、対応するエンドエフェクタ420A、420B~420nを伸長させる。一態様では、1つまたは複数のセンサ450、451は、ウェハWのチャック460に対する位置決めをもたらすために、ウェハがR方向に直線移動させられている間にウェハWの周縁部を検出するための、対応するエンドエフェクタ420A、420B~420nの部分伸長の間に、能動的に使用される。チャック460は、チャック460の上方に位置決めされるウェハを、対応するエンドエフェクタ420A、420B~420nから持ち上げるために、Z方向(図4C)に直線移動させられる(図7A、ブロック715)。ウェハWの少なくとも1つの(上記のものなどの)所定の特徴を検出するために、チャック460が、その上にウェハを有して回転する(たとえば、ウェハがチャックと共に回転する)(図7A、ブロック720)。理解できるように、チャック460は、ウェハWがチャック460上に設置されるときに、ウェハが、1つまたは複数のセンサ450、451の光学視域内にあるように、1つまたは複数のセンサ450、451に対してスライド体420上に位置決めされる。理解できるように、1つまたは複数のセンサ450、451は、ウェハが取り出され、エンドエフェクタが後退される際の縁部検出と、少なくとも1つの所定の特徴の検出/計測との両方に共通である。例として、一態様では、チャック460は、センサ451を用いて、物理的な半径方向の振れ/偏心距離の量、およびウェハ基準FIDの位置を検出するために、ウェハWを回転させる。半径方向の振れ/偏心距離の量に基づいて、ウェハWは、ウェハの印IND(図4B)が、センサ450によって読み取られ、基準FIDを所定の方向付けでアライメントするように、チャック460によって回転される(図7A、ブロック725)。さらに、一態様では、ウェハSがチャック460にある状態で、ウェハS上の任意の適切な印INDが、1つまたは複数のセンサ450、451によって読み取られる(図7A、ブロック726)。一態様では、アライメントされたウェハWは、対応するエンドエフェクタ420A、420B~420nに戻るように移送され、そこから、チャック460をZ方向に下降させることによってウェハWは取り外されるが、一方で他の態様では、アライメントされたウェハWは、ウェハWが取り外されるエンドエフェクタとは異なるエンドエフェクタに移送される(たとえば、1つのエンドエフェクタから別のエンドエフェクタへのウェハの交換)(図7A、ブロック730)。理解できるように、この交換は、最初のエンドエフェクタを有効にし、または最初のウェハから分離するように機能し、それによって、最初のエンドエフェクタは、最初のウェハを開放するために停止することなく、さらなる動作(たとえば、別のウェハの搬送および/または取出し)を開始し得る。
各エンドエフェクタ420A、420B~420nの直線的な横断が、R方向で連続的に周期的に繰り返され、それによって、各エンドエフェクタ420A、420B~420nの直線的な横断の連続的な周期的繰り返しの間、エンドエフェクタ420A、420B~420nによって保持される複数のウェハが、それぞれ、連続的に入れ替えられ、共通縁部検出センサ451および/または共通OCRセンサ450によってスキャンされるように、このアライメント処理(たとえば、図7Aのブロック705~730またはブロック710~730)が、エンドエフェクタ420A、420B~420nによって保持される各ウェハWに対し選択的に繰り返される。理解できるように、共通縁部検出センサ151が、たとえば、EFEM11060のフレーム11060Fに対して、搬送アームと一体となって移動するように、搬送アームに取り付けられる場合、連続的な入れ替えの間に行われる共通縁部検出センサ151によるスキャンによって、少なくとも1つのエンドエフェクタ420A、420B~420nによって同時に支持される複数のウェハの各ウェハWのオンザフライ方式の縁部検出がもたらされる。一態様では、少なくとも1つのエンドエフェクタ420A、420B~420nは、複数のウェハWの最初の1つをチャック460と係合させる前に、複数のウェハのそれぞれの(1つまたは複数の)縁部を検出するために、少なくとも1つのエンドエフェクタ420A、420B~420nによって同時に保持される複数のウェハWが連続的に入れ替えられるように、独立して駆動される。
アライメントされたウェハWは、対応するエンドエフェクタ420A、420B~420nによって任意の適切なウェハ保持位置に設置される(図7A、ブロック735)。理解できるように、一態様では、設置時に、対応するエンドエフェクタが伸長する間に、上記のものと実質的に類似の方法で、各ウェハSの縁部WE1、WE2がスキャンされる(図7B、ブロック735A)。たとえば、対応するエンドエフェクタ420A、420B~420nは、ウェハをウェハ保持位置に設置するために、その上に、対応するウェハを有してR方向に伸長される(たとえば、直線的に横断される)(図7B、ブロック735A)。ウェハSが、エンドエフェクタ420A、420B~420n上で、たとえば後退位置P1から部分伸長位置P3へと伸長されると、ウェハSが、(1つまたは複数の)センサ451、450を通過し、少なくとも1つの縁部WE1、WE2(たとえば、R方向に沿ったウェハの移動の進路に対して前方および/または後方の縁部)が、たとえば、ウェハSが位置するエンドエフェクタに対するウェハSの位置を確認するために検出される(図7B、ブロック735B)。ウェハSを所定のウェハ保持位置に設置するとき(図7B、ブロック735C)、(1つまたは複数の)検出される縁部の(1つまたは複数の)位置に応じて、搬送ロボットは、伸長の際にセンサ450、451によって検出される、ウェハのエンドエフェクタに対するどのような変位も把握する。理解できるように、各ウェハSが対応するエンドエフェクタによって伸長されると、対応する少なくとも1つの縁部WE1、WE2を検出して、ウェハ保持ステーションにおける設置のための(エンドエフェクタに対する)ウェハの位置を確認するために、各ウェハは、1つまたは複数のセンサ150、151を通過する。理解できるように、一態様では、ウェハWは、ウェハの最初の1つがウェハ保持位置に設置される前に、設置のために連続的にスキャンされる(たとえば、ウェハWは、ウェハのいずれかが基板保持位置に設置される前に、各ウェハの位置を確認するために、搬送ロボット上で入れ替えられる)(図7B)。
理解できるように、一態様では、任意の適切なウェハスリップ検出は、エンドエフェクタ420A、420B~420nのうちの1つまたは複数の上で、および/またはチャック460上で行なわれてもよい。ウェハスリップ検出は、たとえば、1つまたは複数のセンサ450、451によってもたらされ、エンドエフェクタ420A、420B~420nおよび/またはチャックに対してウェハが確実な位置にあるかどうか、ならびにウェハが不正確なセンタリングまたはアライメントを起こしやすいかどうかを判定する。理解できるように、不正確なセンタリングまたはアライメントは、ウェハの破損および/または不正確な位置決めを引き起こし得る。ウェハ位置が不確実であると判定される場合、制御装置11091は、ウェハ位置の修正のために、オペレータへの任意の適切な視覚的および/または聴覚的表示をもたらす。
理解できるように、上記のオンザフライ方式のアライメントに対する利点は、各ウェハWが、たとえば、分類、アライメント、および/または識別される間に、EFEM11060の大気環境内にとどまる時間の大幅な短縮につながる。この時間短縮は、上記のオンザフライ方式のアライメントを用いて達成可能であるスループット率の向上、および/または所定のウェハが、ウェハカセット11050によって提供される保護用容器から離れている時間の大幅な短縮につながる。この利点は、所定のFOUP内のすべてのウェハのアライメントおよび/または光学文字認識(OCR)による読取りを提供する共通分類レシピにおいて特に明白である。たとえば、図8Aを参照すると、従来システム870は、全体の高スループット率を達成するために、一般的に、二重の固定式アライナ800A、800Bに依存するが、この構造は、ウェハの移動距離、ロボット動作、および各ウェハが(高活動SCARA型ロボットの隣の)ミニエンバイロメント内にとどまる経過時間をより大きくする。たとえば、図8Aは、ウェハの分類、アライメントおよび/または識別のための、EFEM内におけるウェハの移送のための従来の例示的な移送進路を図示している。ウェハ移送進路TP1~TP8は、ウェハカセット11050A、11050Bのうちの1つの内部の所定の基準位置DL1、DL2から、固定式アライナ800A、800Bのうちの1つの中の所定の基準位置DL3、DL4へと至り、そして、ロードロック11010A、11010Bのうちの1つの中の所定の基準位置DL5、DL6へと至る、またはウェハカセット11050A、11050Bのうちの1つの内部の所定の基準位置DL1、DL2へと戻る。図8Bは、(図8Aに示されるものと)比較して、ウェハを分類、アライメントおよび/または識別するための、同一のEFEM内におけるウェハの移送のための、開示される実施形態の態様によるシステム869のウェハ搬送進路TP10~TP13を図示している。図8Bに見られるように、移送進路TP10~TP15のいずれか1つは、従来の移送進路TP1~TP8のいずれか1つよりも長さが短い。理解できるように、ウェハWが、分類され、ウェハWが取り出されるカセットに、または隣のロードポートにある隣のカセットに戻るように設置される移送進路TP14、TP15は、最も短い進路であり、図8Aに図示されている、カセット11050A、11050Bから、アライナ800A、800Bの1つへと至り、そして、カセット11050A、11050Bの1つへと戻る、対応する進路よりも大幅に短い。たとえば、図8Bの進路TP14、15に従って分類するときに、エンドエフェクタ420A、420B~420nは、(ウェハが入れ替えられるように)カセット11050Aまたは11050Bなどの共通カセットから、ウェハWを連続的に取り出す。各ウェハの後退の間に、カセット11050A、11050Bから各ウェハが連続的に取り出されると、各ウェハは、上記のように、ウェハの縁部を検出するために、センサ450、451を通過する。ウェハは、それぞれが連続的にアライメントされるように、搬送ロボット上で入れ替えられる。アライメントされたウェハは、所定の分類された順序で、カセット11050A、11050Bのうちの1つまたは複数に設置される。
図9を参照すると、(1つまたは複数の)ウェハがEFEMの大気環境内にとどまる時間は、一対の固定式アライナ800A、800Bを使用する従来システム870においてよりも、システム869内におけるほうがより短い。たとえば、図9に図示される表は、図8Aの従来の移送/アライメントシステム870(たとえば、「従来の移送時間」)に対し、それぞれが特定の移送時間を有する移送動作を示している。図9の表は、また、開示される実施形態の態様による図8Bの移送/アライメントシステム869に対し、それぞれが、特定の移送時間を有する移送動作を示している。表に見られるように、従来の移送/アライメントシステム870およびシステム869の両方は、ウェハカセット11050A、11050Bから1つまたは複数のウェハを取り出すことに関連する時間、およびロードロック11010A、11010Bに1つまたは複数のウェハを設置することに関連する時間を含むが、これ以上は、移送時間における類似は無い。たとえば、システム869内において行われるように、カセット11050A、11050Bからウェハ保持位置(ウェハ保持位置は、ウェハが取り出されるロードポートにあるカセット、隣のロードポートにある異なるカセット、およびロードロックのうちの1つまたは複数である)に実質的に直接ウェハを移送する以外に、従来システムは、固定式アライナ800A、800Bの1つの所定の基準位置DL3、DL4に、および基準位置DL3、DL4から(1つまたは複数の)ウェハを移送するための追加の移送時間を含む。さらに、従来システム870は、ウェハWが、アライナ800A、800B内に位置付けられ、アライメントされるアイドル移送時間を含む。(1つまたは複数の)ウェハが、搬送ロボットの(1つまたは複数の)エンドエフェクタ上に位置付けられ、所定の基準位置DL1、DL2と、(ウェハがロードロックへと移送される)所定の基準位置DL5、DL6と、(ウェハが、分類中などに(1つまたは複数の)カセットに返送される)所定の基準位置DL1、DL2との間での移送中に、(1つまたは複数の)ウェハはアライメントされるため、この「アライメント時間」は、システム869においては実質的に除外される。さらに、たとえウェハが、システム869において、スライド体420を(ロードロック11010A、11010Bの前などで)静止して保持する搬送ロボットによってアライメントされても、エンドエフェクタ420A、420B~420nからチャック460にウェハを移送するための時間は、たとえば、Z方向における移動距離が短いため、従来のエンドエフェクタから固定式アライナ800A、800Bにウェハを移送するための時間よりも大幅に少ない。たとえば、開示される実施形態の態様によるウェハのアライメントおよび識別を実行するために、チャック460は、完全に下降された基準位置CDから、Z方向にわずかな量Z1(たとえば、約20mm以下)分、移動させられ、エンドエフェクタ420A、420B~420nは、エンドエフェクタとチャックとの間でウェハWを移送するために、R方向に部分的にのみ伸長する。対照的に、開示される実施形態の態様のオンザフライ方式のアライメントを有さないロボットは、たとえば、EFEMの所定のウェハ移送平面WTPから、固定式アライナ800のウェハ支持表面WSSのレベルにウェハを移送するために、はるかに大きいZ移動(図10の距離Z2)を課す必要があり、たとえば、X、Yおよびθ軸の1つまたは複数における追加の移動によってエンドエフェクタの基準CEを、アライナの基準CAとアライメントさせる間に、エンドエフェクタEEをR方向に完全に伸長させなければならない。
理解できるように、開示される実施形態の態様によるシステム869のウェハ移送時間の減少により、ウェハカセットのドアが開放される経過時間の減少が可能になる。この「カセットドア開放」時間の減少により、たとえば、カセット内の、大気環境からの汚染が減少し、カセット内部およびその内部に位置するウェハのための不活性ガスによる保護の向上がもたらされる。理解できるように、「カセットドア開放」時間の減少により、カセット内の環境変化および汚染が最小化され、また、カセット内における、不活性ガス回復時間(および回復のために必要な不活性ガスの量)も減少する。反対に、フロントエンド内のミニエンバイロメントの環境変動もまた、低減される。上記のことからわかるように、本明細書で説明する、開示される実施形態の態様は、ウェハスループットの向上だけでなく、清浄性の向上およびウェハの環境への曝露の減少も提供する。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、フレームと、搬送アームと、縁部検出センサと、を含み、搬送アームが、フレームに移動可能に取り付けられ、搬送アームと一体となってフレームに対して第1方向に横断し、搬送アームに対して第2方向に直線的に横断するように、搬送アームに移動可能に取り付けられる少なくとも1つのエンドエフェクタを有し、縁部検出センサが、搬送アームと一体となって、フレームに対し移動するように搬送アームに取り付けられ、縁部検出センサが、少なくとも1つのエンドエフェクタによって同時に支持される複数のウェハのそれぞれのウェハの縁部検出をもたらす共通センサであり、縁部検出センサは、それぞれのウェハの縁部検出が、搬送アーム上の少なくとも1つのエンドエフェクタのそれぞれのエンドエフェクタの第2方向における横断によって、第2方向における横断と同時にもたらされるように構成される。
開示される実施形態の1つまたは複数の態様によると、それぞれのエンドエフェクタの第2方向における横断が、少なくとも1つのエンドエフェクタによって同時に支持される複数のウェハのうち、エンドエフェクタ上に載置される、対応するウェハを、縁部検出をもたらす縁部検出センサに対し直線的に搬送する。
開示される実施形態の1つまたは複数の態様によると、縁部検出センサが、ウェハの縁部を検出し、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別するように構成される。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、フレームに対して搬送アームと一体となって移動するように搬送アームに取り付けられるウェハアライナをさらに含み、ウェハアライナは、それぞれのエンドエフェクタと協働し、エンドエフェクタ上に載置される、対応するウェハのウェハアライメントをもたらすように配置される。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、それぞれのエンドエフェクタの、縁部検出センサを通過する直線的な横断を周期的に繰り返させ、それぞれの対応するウェハの縁部検出をもたらすように構成される制御装置をさらに含む。
開示される実施形態の1つまたは複数の態様によると、制御装置が、搬送アームに取り付けられるウェハアライナと最初のウェハを係合させる前に、すべてのエンドエフェクタの直線的な横断を連続的に周期的に繰り返させるように構成される。
開示される実施形態の1つまたは複数の態様によると、それぞれのエンドエフェクタが、独立した駆動装置を有し、独立した駆動装置が、第2方向において独立した直線的な横断をそれぞれのエンドエフェクタが有するように構成される。
開示される実施形態の1つまたは複数の態様によると、少なくとも1つのエンドエフェクタが、搬送アームに対し、それぞれが独立して駆動される第1エンドエフェクタおよび第2エンドエフェクタを含む。
開示される実施形態の1つまたは複数の態様によると、縁部検出が、オンザフライ方式の縁部検出である。
開示される実施形態の1つまたは複数の態様によると、ウェハ処理装置が、ロードポートおよび上記の搬送装置を有する容器を備える。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、フレームと、搬送アームと、縁部検出センサと、を含み、搬送アームが、フレームに移動可能に取り付けられ、第1エンドエフェクタおよび第2エンドエフェクタを有し、第1エンドエフェクタおよび第2エンドエフェクタは、第1エンドエフェクタおよび第2エンドエフェクタが、アームと一体となって、フレームに対し第1方向に横断するように、および第1エンドエフェクタおよび第2エンドエフェクタが、搬送アームに対し、第2方向の独立した直線的な横断のために、独立して駆動されるように、搬送アームに移動可能に取り付けられ、縁部検出センサが、搬送アームと一体となって、フレームに対して移動するように搬送アームに取り付けられ、縁部検出センサが、第1エンドエフェクタおよび第2エンドエフェクタによって同時に支持される複数のウェハのそれぞれのウェハの縁部検出をもたらす共通センサであり、縁部検出センサは、それぞれのウェハの縁部検出が、搬送アーム上の第1エンドエフェクタおよび第2エンドエフェクタのそれぞれのエンドエフェクタの第2方向における横断によって、第2方向における横断と同時にもたらされるように構成される。
開示される実施形態の1つまたは複数の態様によると、それぞれのエンドエフェクタの第2方向の横断が、第1エンドエフェクタおよび第2エンドエフェクタによって同時に支持される複数のウェハのうち、それぞれのエンドエフェクタ上に載置される、対応するウェハを、縁部検出をもたらす縁部検出センサに対し直線的に搬送する。
開示される実施形態の1つまたは複数の態様によると、縁部検出センサが、ウェハの縁部を検出し、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別するように構成される。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、フレームに対して搬送アームと一体となって移動するように搬送アームに取り付けられるウェハアライナをさらに含み、ウェハアライナは、それぞれのエンドエフェクタと協働し、第1のエンドエフェクタおよび第2のエンドエフェクタのそれぞれの上に載置される、対応するウェハのウェハアライメントをもたらすように配置される。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハ搬送装置が、それぞれのエンドエフェクタの、縁部検出センサを通過する直線的な横断を周期的に繰り返させ、それぞれの対応するウェハの縁部検出をもたらすように構成される制御装置をさらに含む。
開示される実施形態の1つまたは複数の態様によると、制御装置が、搬送アームに取り付けられるウェハアライナと最初のウェハを係合させる前に、すべてのエンドエフェクタの直線的な横断を連続的に周期的に繰り返させるように構成される。
開示される実施形態の1つまたは複数の態様によると、それぞれのエンドエフェクタが、独立した駆動装置を有し、独立した駆動装置が、それぞれのエンドエフェクタの第2方向における独立した直線的な横断をもたらすように構成される。
開示される実施形態の1つまたは複数の態様によると、縁部検出が、オンザフライ方式の縁部検出である。
開示される実施形態の1つまたは複数の態様によると、ウェハ処理装置が、ロードロックおよび上記の搬送装置を有する容器を含む。
開示される実施形態の1つまたは複数の態様によると、半導体ウェハを処理する方法が、フレームに移動可能に取り付けられる搬送アームを提供することと、搬送アームと一体となってフレームに対し第1方向に横断し、搬送アームに対し第2方向に直線的に横断するように、搬送アームに移動可能に取り付けられる少なくとも1つのエンドエフェクタを提供することと、少なくとも1つのエンドエフェクタのそれぞれのエンドエフェクタの第2方向における直線的な横断を連続的に周期的に繰り返させることであって、それぞれのエンドエフェクタの直線的な横断を連続的に周期的に繰り返させる間に、複数のウェハがそれぞれ、連続的に入れ替えられ、搬送アームに取り付けられる共通縁部検出センサによって連続的にスキャンされるように、少なくとも1つのエンドエフェクタのそれぞれのエンドエフェクタの第2方向における直線的な横断を連続的に周期的に繰り返させることと、を含む。
開示される実施形態の1つまたは複数の態様によると、連続的な入れ替えの間に共通縁部検出センサによってスキャンすることにより、少なくとも1つのエンドエフェクタによって同時に支持される複数のウェハのそれぞれのウェハのオンザフライ方式の検出をもたらし、共通縁部検出センサは、搬送アームと一体となってフレームに対し移動するように、搬送アームに取り付けられる。
開示される実施形態の1つまたは複数の態様によると、方法は、複数のウェハの連続的な入れ替えの完了後に、最初のウェハを、搬送アームに取り付けられるウェハアライナと係合させることをさらに含む。
開示される実施形態の1つまたは複数の態様によると、それぞれのウェハのオンザフライ方式の検出が、搬送アーム上の少なくとも1つのエンドエフェクタのそれぞれのエンドエフェクタの第2方向における横断によって、第2方向における横断と同時にもたらされる。
開示される実施形態の1つまたは複数の態様によると、方法が、少なくとも1つのエンドエフェクタによって同時に支持される複数のウェハのうち、エンドエフェクタ上に載置される、対応するウェハを、縁部検出センサに対し搬送するために、それぞれのエンドエフェクタを第2方向に直線的に横断させることによって、連続的な入れ替えの間に縁部検出をもたらすことと、対応するウェハの直線的な搬送の間に、縁部検出センサを用いて対応するウェハをスキャンすることとをさらに含む。
開示される実施形態の1つまたは複数の態様によると、方法は、縁部検出センサを用いて、ウェハの縁部を検出すること、および、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別することをさらに含む。
開示される実施形態の1つまたは複数の態様によると、方法は、搬送アームに取り付けられるウェハアライナを用いて、エンドエフェクタ上に載置される、対応するウェハのウェハアライメントをもたらすことをさらに含み、ウェハアライナおよび搬送アームが、フレームに対し、一体となって移動し、ウェハアライナが、それぞれのエンドエフェクタと協働するように配置される。
開示される実施形態の1つまたは複数の態様によると、方法は、それぞれのエンドエフェクタが、第2方向における独立した直線的な横断を有するように、独立した駆動装置を用いて、それぞれのエンドエフェクタを独立して駆動することをさらに含む。
開示される実施形態の1つまたは複数の態様によると、少なくとも1つのエンドエフェクタが、第1エンドエフェクタおよび第2エンドエフェクタを含み、方法が、第1エンドエフェクタおよび第2エンドエフェクタのそれぞれを、搬送アームに対し、独立して駆動することをさらに含む。
開示される実施形態の1つまたは複数の態様によると、上記の記載は、開示される実施形態の態様の例示にすぎないことを理解されるべきである。当業者によって、様々な代替例および修正例が、開示される実施形態の態様から逸脱することなく案出され得る。したがって、開示された実施形態の態様は、添付の請求項の範囲に該当する、そのような代替例、修正例、および変形例のすべてを含むことを意図している。さらに、異なる特徴が、相互に異なる従属または独立請求項に詳述されるという一事実は、これらの特徴の組み合わせを有利に使用することが出来ないということを意味せず、そのような組み合わせは、本発明の態様の範囲内に留まる。

Claims (28)

  1. 半導体ウェハ搬送装置により半導体ウェハを搬送するための方法であって、
    フレームに移動可能に取り付けられた搬送アームを有する前記半導体ウェハ搬送装置を提供することであって、前記搬送アームが、複数のエンドエフェクタおよび縁部検出センサを含み、前記複数のエンドエフェクタが、前記搬送アームと一体となって前記フレームに対して第1方向に横断し、前記搬送アームに対して伸長位置と後退位置との間で第2方向に直線的に横断するように、前記搬送アームに移動可能に取り付けられ、前記縁部検出センサが、前記搬送アームと一体となって、前記フレームに対し移動するように前記搬送アームに取り付けられ、前記縁部検出センサが、前記後退位置において前記複数のエンドエフェクタによって同時に支持される複数のウェハのそれぞれのウェハの縁部検出をもたらす共通センサである、前記半導体ウェハ搬送装置を提供することと、
    前記後退位置において前記複数のエンドエフェクタのそれぞれが複数のウェハのそれぞれのウェハを同時に支持した状態で、前記縁部検出センサによりそれぞれのウェハの縁部を検出することであって、それぞれのウェハの前記縁部検出が、前記搬送アーム上の前記複数のエンドエフェクタのそれぞれのエンドエフェクタの前記第2方向における前記後退位置からの前記横断によって、前記第2方向における前記後退位置からの前記横断と同時にもたらされる、それぞれのウェハの縁部を検出することと、
    を含む、方法。
  2. それぞれのエンドエフェクタの前記第2方向における前記横断が、前記複数のエンドエフェクタによって同時に支持される前記複数のウェハのうち、前記エンドエフェクタ上に載置される、対応するウェハを、縁部検出をもたらす前記縁部検出センサに対し直線的に搬送する、請求項1記載の方法。
  3. 前記縁部検出センサが、前記ウェハの縁部を検出し、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別する、請求項2記載の方法。
  4. 前記フレームに対して前記搬送アームと一体となって移動するように前記搬送アームに取り付けられるウェハアライナを提供することをさらに含み、前記ウェハアライナは、それぞれのエンドエフェクタと協働し、前記エンドエフェクタ上に載置される前記対応するウェハのウェハアライメントをもたらすように配置される、請求項2記載の方法。
  5. 前記搬送アームに連結された制御装置により、それぞれのエンドエフェクタの、前記縁部検出センサを通過する直線的な横断を周期的に繰り返させ、それぞれの対応するウェハの縁部検出をもたらすことをさらに含む、請求項2記載の方法。
  6. 前記制御装置が、前記搬送アームに取り付けられるウェハアライナと最初のウェハを係合させる前に、すべてのエンドエフェクタの前記直線的な横断を連続的に周期的に繰り返させるように構成される、請求項5記載の方法。
  7. それぞれのエンドエフェクタが、独立した駆動装置を有し、前記独立した駆動装置が、前記第2方向において独立した直線的な横断をそれぞれのエンドエフェクタが有するように構成される、請求項1記載の方法。
  8. 前記複数のエンドエフェクタが、前記搬送アームに対し、それぞれが独立して駆動される第1エンドエフェクタおよび第2エンドエフェクタを含む、請求項1記載の方法。
  9. 前記縁部検出が、オンザフライ方式の縁部検出である、請求項1記載の方法。
  10. 前記半導体ウェハ搬送装置の前記搬送アームが、ロードポートを有する容器を備える処理装置内に位置付けられる、請求項1記載の方法。
  11. 半導体ウェハ搬送装置により半導体ウェハを搬送するための方法であって、
    フレームに移動可能に取り付けられた搬送アームを有する前記半導体ウェハ搬送装置を提供することであって、前記搬送アームが、第1エンドエフェクタおよび第2エンドエフェクタと、縁部検出センサとを含み、前記第1エンドエフェクタおよび第2エンドエフェクタは、
    前記第1エンドエフェクタおよび第2エンドエフェクタが、前記搬送アームと一体となって、前記フレームに対し第1方向に横断するように、および
    前記第1エンドエフェクタおよび第2エンドエフェクタが、前記搬送アームに対し、前記第1方向とは異なる第2方向の伸長位置と後退位置との間で独立した直線的な横断のために、独立して駆動されるように、
    前記搬送アームに移動可能に取り付けられ、前記縁部検出センサが、前記搬送アームと一体となって、前記フレームに対して移動するように前記搬送アームに取り付けられ、前記縁部検出センサが、前記第1エンドエフェクタおよび第2エンドエフェクタによって同時に支持される複数のウェハのそれぞれのウェハの縁部検出をもたらす共通センサである、前記半導体ウェハ搬送装置を提供することと、
    前記後退位置において前記第1エンドエフェクタおよび第2エンドエフェクタのそれぞれが複数のウェハのそれぞれのウェハを同時に支持した状態で、前記縁部検出センサによりそれぞれのウェハの縁部を検出することであって、それぞれのウェハの前記縁部検出が、前記搬送アーム上の前記第1エンドエフェクタおよび第2エンドエフェクタのそれぞれのエンドエフェクタの前記第2方向における前記後退位置からの前記横断によって、前記第2方向における前記後退位置からの前記横断と同時にもたらされる、それぞれのウェハの縁部を検出することと、
    を含む、方法。
  12. それぞれのエンドエフェクタの前記第2方向の前記横断が、前記第1エンドエフェクタおよび前記第2エンドエフェクタによって同時に支持される前記複数のウェハのうち、それぞれのエンドエフェクタ上に載置される、対応するウェハを、縁部検出をもたらす前記縁部検出センサに対し直線的に搬送する、請求項11記載の方法。
  13. 前記縁部検出センサが、前記ウェハの縁部を検出し、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別する、請求項12記載の方法。
  14. 前記フレームに対して前記搬送アームと一体となって移動するように前記搬送アームに取り付けられるウェハアライナを提供することをさらに含み、前記ウェハアライナは、それぞれのエンドエフェクタと協働し、前記第1のエンドエフェクタおよび第2のエンドエフェクタのそれぞれの上に載置される前記対応するウェハのウェハアライメントをもたらすように配置される、請求項12記載の方法。
  15. 前記搬送アームに連結された制御装置により、それぞれのエンドエフェクタの、前記縁部検出センサを通過する直線的な横断を周期的に繰り返させ、それぞれの対応するウェハの縁部検出をもたらすことをさらに含む、請求項12記載の方法。
  16. 前記制御装置が、前記搬送アームに取り付けられるウェハアライナと最初のウェハを係合させる前に、すべてのエンドエフェクタの前記直線的な横断を連続的に周期的に繰り返させるように構成される、請求項15記載の方法。
  17. それぞれのエンドエフェクタが、独立した駆動装置を有し、前記独立した駆動装置が、それぞれのエンドエフェクタの前記第2方向における独立した直線的な横断をもたらすように構成される、請求項11記載の方法。
  18. 前記半導体ウェハ搬送装置の前記搬送アームが、ロードポートを有する容器を備える処理装置内に位置付けられる、請求項11記載の方法。
  19. 前記縁部検出が、オンザフライ方式の縁部検出である、請求項18記載の方法。
  20. 半導体ウェハを処理する方法であって、前記方法が、
    フレームに移動可能に取り付けられる搬送アームを提供することと、
    複数のエンドエフェクタが、前記搬送アームと一体となって前記フレームに対し第1方向に横断し、前記搬送アームに対し前記第1方向と異なる第2方向に直線的に横断するように、前記搬送アームに複数のエンドエフェクタを設けることと、
    前記複数のエンドエフェクタのそれぞれのエンドエフェクタの前記第2方向における伸長位置と後退位置との間での直線的な横断を連続的に周期的に繰り返させることであって、それぞれのエンドエフェクタの前記後退位置から前記伸長位置への前記直線的な横断を連続的に周期的に繰り返させる間に、前記後退位置において前記複数のエンドエフェクタにより同時に支持される複数のウェハがそれぞれ、連続的に入れ替えられ、前記搬送アームに取り付けられる共通縁部検出センサによって連続的にスキャンされるように、前記複数のエンドエフェクタのそれぞれのエンドエフェクタの前記第2方向における前記伸長位置と前記後退位置との間での直線的な横断を連続的に周期的に繰り返させることと、
    を含む、
    方法。
  21. 連続的な入れ替えの間に前記共通縁部検出センサによってスキャンすることにより、前記複数のエンドエフェクタによって同時に支持される前記複数のウェハのそれぞれのウェハのオンザフライ方式の縁部検出をもたらし、前記共通縁部検出センサは、前記搬送アームと一体となって前記フレームに対し移動するように、前記搬送アームに取り付けられる、請求項20記載の方法。
  22. 前記複数のウェハの連続的な入れ替えの完了後に、最初のウェハを、前記搬送アームに取り付けられるウェハアライナと係合させることをさらに含む、請求項20記載の方法。
  23. それぞれのウェハのオンザフライ方式の縁部検出が、前記搬送アーム上の前記複数のエンドエフェクタのそれぞれのエンドエフェクタの前記第2方向における前記横断によって、前記第2方向における前記横断と同時にもたらされる、請求項21記載の方法。
  24. 前記複数のエンドエフェクタによって同時に支持される前記複数のウェハのうち、前記エンドエフェクタ上に載置される、対応するウェハを、前記共通縁部検出センサに対し搬送するために、それぞれのエンドエフェクタを前記第2方向に直線的に横断させることによって、連続的な入れ替えの間に縁部検出をもたらすことと、
    前記対応するウェハの直線的な搬送の間に、前記共通縁部検出センサを用いて前記対応するウェハをスキャンすることと
    をさらに含む、請求項20記載の方法。
  25. 前記共通縁部検出センサを用いて、前記ウェハの縁部を検出すること、および、それを基に、所定の基準座標系に対するウェハの位置およびミスアライメントを識別することをさらに含む、請求項24記載の方法。
  26. 前記搬送アームに取り付けられるウェハアライナを用いて、前記エンドエフェクタ上に載置される前記対応するウェハのウェハアライメントをもたらすことをさらに含み、前記ウェハアライナおよび前記搬送アームが、前記フレームに対し、一体となって移動し、前記ウェハアライナが、それぞれのエンドエフェクタと協働するように配置される、請求項24記載の方法。
  27. それぞれのエンドエフェクタが、前記第2方向における独立した直線的な横断を有するように、独立した駆動装置を用いて、それぞれのエンドエフェクタを独立して駆動することをさらに含む、請求項20記載の方法。
  28. 前記複数のエンドエフェクタが、第1エンドエフェクタおよび第2エンドエフェクタを含み、前記方法が、前記第1エンドエフェクタおよび第2エンドエフェクタのそれぞれを、前記搬送アームに対し、独立して駆動することをさらに含む、請求項20記載の方法。
JP2020135273A 2014-11-04 2020-08-07 ウェハアライナ Active JP7263642B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462075014P 2014-11-04 2014-11-04
US62/075,014 2014-11-04
US14/928,352 2015-10-30
US14/928,352 US10755960B2 (en) 2014-11-04 2015-10-30 Wafer aligner

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017542815A Division JP6779892B2 (ja) 2014-11-04 2015-11-02 ウェハアライナ

Publications (2)

Publication Number Publication Date
JP2020188288A JP2020188288A (ja) 2020-11-19
JP7263642B2 true JP7263642B2 (ja) 2023-04-25

Family

ID=55853478

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017542815A Active JP6779892B2 (ja) 2014-11-04 2015-11-02 ウェハアライナ
JP2020135273A Active JP7263642B2 (ja) 2014-11-04 2020-08-07 ウェハアライナ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017542815A Active JP6779892B2 (ja) 2014-11-04 2015-11-02 ウェハアライナ

Country Status (6)

Country Link
US (2) US10755960B2 (ja)
JP (2) JP6779892B2 (ja)
KR (1) KR102470589B1 (ja)
CN (1) CN107112264B (ja)
TW (1) TWI709186B (ja)
WO (1) WO2016073330A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US9640418B2 (en) 2015-05-15 2017-05-02 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
US9961782B2 (en) * 2016-07-08 2018-05-01 Kateeva, Inc. Transport path correction techniques and related systems, methods and devices
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
WO2018045463A1 (en) 2016-09-08 2018-03-15 Fives Line Machines Inc. Machining station, workpiece holding system, and method of machining a workpiece
DE102016118462A1 (de) 2016-09-29 2018-03-29 Asys Automatic Systems Gmbh & Co. Kg Handhabungsvorrichtung für Substrate, insbesondere Halbleitersubstrate
US10300554B2 (en) * 2017-01-31 2019-05-28 Illumina, Inc. Wafer alignment method and system
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
JP7175735B2 (ja) * 2018-12-11 2022-11-21 平田機工株式会社 基板搬送装置
KR20210114555A (ko) * 2019-02-08 2021-09-23 램 리써치 코포레이션 기판 위치 검출 및 조정
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11295975B2 (en) * 2019-09-13 2022-04-05 Brooks Automation Us, Llc Method and apparatus for substrate alignment
CN112216636A (zh) * 2020-08-27 2021-01-12 西安奕斯伟硅片技术有限公司 一种晶圆外延反应设备
US11854853B2 (en) * 2021-03-12 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning method and apparatus
US20220399219A1 (en) * 2021-06-11 2022-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment apparatus and method for multi-cassette load port

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058625A (ja) 1998-06-02 2000-02-25 Mecs Corp 基板搬送装置
JP2002217268A (ja) 2001-01-19 2002-08-02 Yaskawa Electric Corp 基板搬送方法および基板搬送装置
JP2009021504A (ja) 2007-07-13 2009-01-29 Ryusyo Industrial Co Ltd ウエハ搬送ロボット
JP2013197454A (ja) 2012-03-22 2013-09-30 Sumitomo Heavy Ind Ltd 搬送制御装置、搬送システム、基準テーブル作成方法、及び把持位置較正方法
JP2014022589A (ja) 2012-07-19 2014-02-03 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP2014170828A (ja) 2013-03-04 2014-09-18 Tokyo Electron Ltd 基板搬送経路の決定方法、基板搬送装置、基板処理装置及びプログラム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
FR2778496B1 (fr) 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
KR20010043834A (ko) 1998-05-27 2001-05-25 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 반도체 웨이퍼 취급을 위한 배치 엔드 이펙터
US6195619B1 (en) * 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
US6615113B2 (en) 2001-07-13 2003-09-02 Tru-Si Technologies, Inc. Articles holders with sensors detecting a type of article held by the holder
US6927505B2 (en) 2001-12-19 2005-08-09 Nikon Corporation Following stage planar motor
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
MY161955A (en) 2009-03-30 2017-05-15 Ats Automation Tooling Systems Inc Systems and methods for handling wafers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058625A (ja) 1998-06-02 2000-02-25 Mecs Corp 基板搬送装置
JP2002217268A (ja) 2001-01-19 2002-08-02 Yaskawa Electric Corp 基板搬送方法および基板搬送装置
JP2009021504A (ja) 2007-07-13 2009-01-29 Ryusyo Industrial Co Ltd ウエハ搬送ロボット
JP2013197454A (ja) 2012-03-22 2013-09-30 Sumitomo Heavy Ind Ltd 搬送制御装置、搬送システム、基準テーブル作成方法、及び把持位置較正方法
JP2014022589A (ja) 2012-07-19 2014-02-03 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP2014170828A (ja) 2013-03-04 2014-09-18 Tokyo Electron Ltd 基板搬送経路の決定方法、基板搬送装置、基板処理装置及びプログラム

Also Published As

Publication number Publication date
JP6779892B2 (ja) 2020-11-04
US20200388523A1 (en) 2020-12-10
TWI709186B (zh) 2020-11-01
US20160126128A1 (en) 2016-05-05
TW201630100A (zh) 2016-08-16
US10755960B2 (en) 2020-08-25
WO2016073330A1 (en) 2016-05-12
CN107112264B (zh) 2021-06-04
CN107112264A (zh) 2017-08-29
KR20170081227A (ko) 2017-07-11
KR102470589B1 (ko) 2022-11-24
JP2017535974A (ja) 2017-11-30
JP2020188288A (ja) 2020-11-19

Similar Documents

Publication Publication Date Title
JP7263642B2 (ja) ウェハアライナ
JP6997144B2 (ja) オンザフライ基板センタリングを含む処理装置
US10777438B2 (en) Processing apparatus
JP2021010011A (ja) 基板処理装置
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
JP5506979B2 (ja) ロットサイズ減少のためのバッファ付きローダ
US7604449B1 (en) Equipment front end module
US9728436B2 (en) Transfer mechanism with multiple wafer handling capability
JP2010512026A (ja) 高生産性ウエハノッチアライメント装置
US20150206782A1 (en) Substrate transport apparatus
US11894252B2 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
CN111432986B (zh) 具有单独附件馈通的衬底运输装置
JPH05319513A (ja) 搬送装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200807

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220712

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230207

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230310

R150 Certificate of patent or registration of utility model

Ref document number: 7263642

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150