KR102470589B1 - 웨이퍼 정렬 장치 - Google Patents

웨이퍼 정렬 장치 Download PDF

Info

Publication number
KR102470589B1
KR102470589B1 KR1020177015254A KR20177015254A KR102470589B1 KR 102470589 B1 KR102470589 B1 KR 102470589B1 KR 1020177015254 A KR1020177015254 A KR 1020177015254A KR 20177015254 A KR20177015254 A KR 20177015254A KR 102470589 B1 KR102470589 B1 KR 102470589B1
Authority
KR
South Korea
Prior art keywords
wafer
end effector
transfer
transfer arm
edge detection
Prior art date
Application number
KR1020177015254A
Other languages
English (en)
Other versions
KR20170081227A (ko
Inventor
앤서니 씨. 보노라
후스토 그라시아노
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20170081227A publication Critical patent/KR20170081227A/ko
Application granted granted Critical
Publication of KR102470589B1 publication Critical patent/KR102470589B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54406Marks applied to semiconductor devices or parts comprising alphanumeric information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

반도체 웨이퍼 이송 장치는 프레임; 상기 프레임에 이동 가능하게 장착되고 적어도 하나의 엔드 이펙터를 갖는 이송 아암; 및 상기 프레임에 대해 상기 이송 아암과 함께 하나의 단위로서 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;를 포함하고, 상기 적어도 하나의 엔드 이펙터는 상기 프레임에 대해 제1 방향으로 상기 아암과 함께 하나의 단위로서 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 적어도 하나의 엔드 이펙터는 제2 방향으로 상기 이송 아암에 대해 선형적으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지가 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터의 상기 제2 방향으로의 상기 횡단에 의해 수행되고 그와 일치하도록 구성된다.

Description

웨이퍼 정렬 장치 {WAFER ALIGNER}
예시적인 실시예들은 크게 웨이퍼 처리 시스템들에 관한 것으로서, 더욱 구체적으로는 웨이퍼 정렬에 관한 것이다.
<관련 출원의 상호 참조>
본 출원은 2014년 11월 4일에 제출된 미국 임시특허출원 제62/075,014호의 정규 출원으로서 그의 이익을 주장하며, 상기 임시특허출원의 개시 내용은 그 전체가 여기에 인용되어 통합된다.
일반적으로 통상적인 반도체 처리 장비는 반도체 처리 공정 동안 정렬 기능 및 웨이퍼 식별 기능을 제공하기 위하여 특수화된(specialized) 독립형(stand-alone) 웨이퍼 정렬 장치들을 이용한다. 일반적으로 상기 특수화된 독립형 웨이퍼 정렬 장치들은 장비 전방 단부 모듈(equipment front end module, EFEM)의 인클로저(enclosure) 또는 웨이퍼 분류 장치의 인클로저의 일단, 예를 들어 측면에 장착된다 (장비 전방 단부 모듈은 분류 기능을 포함할 수 있음을 언급한다).
일반적으로 상기 특수화된 독립형 웨이퍼 정렬 장치들을 상기 장비 전방 단부 모듈의 일단 상에 배치하는 것은 특수화된 독립형 웨이퍼 정렬 장치들로 웨이퍼 정렬 및 웨이퍼 식별을 수행하기에 상당한 웨이퍼 이송 로봇 이동 시간 및 대기 시간을 필요로 한다. 웨이퍼 이송 로봇의 이동 및 대기 시간은 과도한 (예를 들어, 웨이퍼 카세트로부터 로드 락과 같은 다른 웨이퍼 홀딩 스테이션으로 웨이퍼를 이송하기 위한) 사이클 시간을 야기하고, 장비 전방 단부 모듈을 통해 처리될 수 있는 시간당 웨이퍼의 수(예를 들어, 처리량(throughput))를 크게 감소시킬 수 있다.
장비 전방 단부 모듈의 일단에 있는 특수화된 독립형 웨이퍼 정렬 장치의 위치는 일반적으로 돌출된 “범프아웃 인클로저(bumpout enclosure)”(예를 들어, 장비 전방 단부 모듈의 일측으로부터 바깥 방향으로 연장하는 박스형 인클로저)를 필요로 한다. 상기 범프아웃 인클로저들은 툴 전체의 풋 프린트(foot print)를 증가시키고 장비 전방 단부 모듈 및/또는 웨이퍼 분류 장치의 제조 비용을 증가시킨다. 또한, 내부 공기 청정도를 제어하기 위하여 장비 전방 단부 모듈 및/또는 웨이퍼 분류 장치를 통해 흐르는 초저립자 공기의 흐름은 범프아웃 인클로저들에 부딪친 측면 비-층류(non-laminar flow) 패턴들에 의하여 손상되기 때문에, 범프아웃 인클로저들은 청정도 문제를 야기한다.
장비 전방 단부 모듈 및/또는 분류 장치의 내부에서 온-더-플라이(on-the-fly) 웨이퍼 정렬 및 식별을 가지는 것이 특수화된 독립형 웨이퍼 정렬 장치에 관련된 전술한 문제점을 해결하는데 유리할 것이다.
반도체 웨이퍼 이송 장치는 프레임; 상기 프레임에 이동 가능하게 장착되고 적어도 하나의 엔드 이펙터를 갖는 이송 아암; 및 상기 프레임에 대해 상기 이송 아암과 함께 하나의 단위로서 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;를 포함하고, 상기 적어도 하나의 엔드 이펙터는 상기 프레임에 대해 제1 방향으로 상기 아암과 함께 하나의 단위로서 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 적어도 하나의 엔드 이펙터는 제2 방향으로 상기 이송 아암에 대해 선형적으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지가 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터의 상기 제2 방향으로의 상기 횡단에 의해 수행되고 그와 일치하도록 구성된다.
위의 태양들 및 개시된 실시예의 다른 특징들은 첨부 도면들과 관련한 다음의 상세한 설명에서 설명된다.
도 1a 내지 도 1d는 개시된 실시예의 태양들에 따른 처리 장치를 나타낸 개념도들이다.
도 2a 내지 도 2g는 개시된 실시예의 태양들에 따른 처리 장치를 나타낸 개념도들이다.
도 2h 내지 도 2k는 개시된 실시예의 태양들에 따른 이송 아암들을 나타낸 개념도들이다.
도 3은 개시된 실시예의 태양들에 따른 처리 장치의 일부를 나타낸 개념도이다.
도 4a 내지 도 4d는 개시된 실시예의 태양들에 따른 이송 장치의 일부를 나타낸 개념도들이다.
도 5a 및 도 5b는 개시된 실시예의 태양들에 따른 이송 장치의 일부를 나타낸 개념도들이다.
도 6a 및 도 6b는 개시된 실시예의 태양들에 따른 이송 장치의 일부를 나타낸 개념도들이다.
도 7a 및 도 7b는 개시된 실시예의 태양들에 따른 흐름도이다.
도 8a는 통상적인 처리 툴 내의 이송 경로를 나타낸 개념도이다. 도 8b는 개시된 실시예의 태양들에 따른 처리 툴 내의 이송 경로를 나타낸 개념도이다.
도 9는 도 8a의 이송 경로에 대응하는 통상적인 웨이퍼 이송 시간에 대응하는 이송 동작 및 도 8b의 이송 경로에 대응하는 개시된 실시예의 태양들에 따른 웨이퍼 이송 시간에 대응하는 이송 동작을 나타내는 테이블이다.
도 10은 통상적인 처리 툴의 일부를 나타낸 개념도이다.
도 1a 내지 도 1d 및 도 2a 내지 도 2g를 참조하면, 여기에 후술되는 개시된 실시예의 태양들에 따른 웨이퍼 처리 장치 또는 툴들의 개념도들이 도시된다. 상기 개시된 실시예의 태양들은 도면들을 참조하여 설명될 것이지만, 상기 개시된 실시예의 태양들은 많은 형태들로 구현될 수 있음을 이해하여야 한다. 또한 임의의 적절한 크기, 형태 또는 타입의 요소들 또는 물질들이 사용될 수 있다. 상기 도면들은 단지 본질적으로 대표적인 것이며, 도시된 특징들은 예시적인 것이고 설명의 목적으로 제공되며, 달리 명시적으로 언급되지 않는 한, 원하는 바에 따라 변경될 수 있음을 이해하여야 한다.
이하에서 보다 상세하게 설명되는 바과 같이, 개시된 실시예의 태양들은 (예를 들어, 웨이퍼를 적어도 부분적으로 회전시키기 위한 회전 드라이브를 가지며, 또는 여기에 편의상 정렬 장치로 언급되는) 웨이퍼 스피너 및 반도체 웨이퍼 이송 장치의 통합을 통한 온-더-플라이 웨이퍼/기판 정렬 시스템(도 4d의 499)을 제공한다. 여기서, 온-더-플라이 정렬이란, 후술되는 바와 같이 웨이퍼(들)를 홀딩하는 엔드 이펙터(들)와 같은, 이송 장치 또는 그 일부분만에 의한 하나 이상의 웨이퍼의 이송 동안, 하나 이상의 웨이퍼들의 정렬을 의미한다. 예를 들어, 개시된 실시예의 태양들은 고정된 웨이퍼 정렬 스테이션/모듈에 상기 웨이퍼를 전달하는 상기 이송 로봇 없이 상기 하나 이상의 웨이퍼들을 물리적으로 정렬시키고, 또는 상기 이송 로봇과 상이한 기준 프레임을 갖는 웨이퍼 정렬 스테이션/모듈 없이 하나 이상의 웨이퍼를 물리적으로 정렬시킬 수 있다. 여기에 설명되고 개시된 실시예의 태양들은 공통 플랫폼 상에 이송 및 정렬 장치를 제공하고, 따라서 공통 기준 프레임을 제공한다. 이하에서 설명되는 것과 같이, 개시된 실시예의 태양들에서, 일 태양은 장비 전방 모듈들 (예를 들어, 기본 구성으로서 로드 포트를 가지는 인클로저) 및 웨이퍼 분류 장치들과 같이 대기 환경 내에서 사용되는 반면, 다른 태양들은 클러스터 툴의 이송 챔버 및 선형 툴의 선형 이송 챔버 또는 이들의 조합과 같이 진공 환경에서 사용된다. 개시된 실시예의 또 다른 태양들은, 예를 들어, X 및 Z 축들 중 하나 이상으로 웨이퍼들에 대한 긴 이동 거리를 갖는 임의의 적절한 이송 장치가 사용될 수 있다 (여기서 X, Y 및 Z축은 예시적인 목적만를 위한 것이고 다른 태양들에서는 다른 방향들이 임의의 적절한 명칭으로 표시된다). 이하에서 설명되는 바와 같이, 개시된 실시예의 태양들은 (예를 들어, 통상적인 시스템의 범프아웃 인클로저를 제거함으로써) 더 높은 웨이퍼 처리량(throughput), 개선된 웨이퍼 청결도, 인클로징 모듈 내의 환경 오염의 최소화, 보다 정확한 웨이퍼 정렬, 감소된 제조 비용 및 보다 작은 툴 풋 프린트를 제공하고 유용성(serviceability)을 향상시킨다. 또한, 개시된 실시예의 태양들은 임의의 적절한 엔드 이펙터(예를 들어, 능동 엣지 그립, 진공 그립, 수동/마찰 서포터들 등)를 이용하고 웨이퍼 정렬 장치 및 이송 로봇 컨트롤 사이에 공통 제어 아키텍쳐를 가지는 것을 허용한다.
도 1a 및 도 1b를 참조하면, 개시된 실시예의 태양들에 따른, 예컨대 반도체 툴 스테이션(11090)과 같은 처리 장치가 도시된다. 상기 도면들에는 반도체 툴(11090)이 도시되어 있지만, 여기에 설명되고 개시된 실시예의 태양들은 로보틱 조작기들(manipulators)를 사용하는 임의의 툴 스테이션 또는 애플리케이션에 적용될 수 있다. 상기 예에서 툴(11090)은 클러스터 툴로서 도시되어 있지만, 개시된 실시예의 태양들은, 예를 들어, 도 1c 및 도 1d에 도시되고 2013년 3 월 19일에 발행된 "Linearly Distributed Semiconductor Workpiece Processing Tool"이라는 명칭의 미국 특허 번호 제8,398,355호에 기재된 선형 툴 스테이션과 같은 임의의 적절한 툴 스테이션이 적용될 수 있고, 상기 특허 문헌의 개시 내용은 그 전체가 여기에 인용되어 통합된다. 툴 스테이션(11090)은 일반적으로 대기압의 전방 단부(11000), 진공 로드 락(11010) 및 진공 후방 단부(11020)를 포함한다. 다른 태양들에서, 툴 스테이션은 임의의 적절한 구성을 가질 수 있다. 전방 단부(11000), 로드 락(11010) 및 후방 단부(11020) 각각의 컴포넌트들은, 예를 들어 클러스터형 아키텍쳐 제어와 같은 임의의 적절한 컨트롤러 구조의 일부일 수 있는 컨트롤러(11091)에 연결될 수 있다. 제어 시스템은 마스터 컨트롤러, 클러스터 컨트롤러들 및 2011년 3월8일에 발행된 "Scalable Motion Control System"이라는 명칭의 미국 특허 번호 제7,904,182호에 개시된 자율 원격 컨트롤러들을 갖는 폐루프 컨트롤러 일 수 있다. 상기 특허 문헌의 개시 내용은 그 전체가 여기에 인용되어 통합된다. 다른 양상들에서, 임의의 적절한 컨트롤러 및/또는 제어 시스템이 이용될 수 있다.
일 태양에서, 일반적으로 전방 단부(11000)는 예를 들어 (일부 태양들에서 웨이퍼 분류 기능을 포함하는) 장비 전방 단부 모듈(equipment front end module, EFEM)과 같은 미니-환경(11060) 및 로드 포트 모듈들(11005)을 포함한다. 다른 태양들에서, 처리 스테이션은 (진공 후방 단부(11020), 전방 단부(11000) 내에 위치될 수 있는 및/또는 (예를 들어 로드 락과 같이) 전방 단부(11000)를 진공 후방 단부(11020)에 연결시키는) 웨이퍼 버퍼들, 웨이퍼 인버터들 및 웨이퍼 셔플 스테이션들을 포함할 수 있다. 로드 포트 모듈(11005)은 300mm로드 포트들, 전면 개구부 또는 바닥 개구부 박스들/포드들 및 카세트들을 위한 SEMI 표준 E15.1, E47.1, E62, E19.5 또는 E1.9에 맞는 BOLTS(box opener/loader to tool standard) 인터페이스들일 수 있다. 다른 태양들에서, 로드 포트 모듈들은 200mm 웨이퍼 또는 450mm 웨이퍼의 인터페이스들 또는 예를 들어 보다 큰 또는 보다 작은 웨이퍼들 또는 플랫 패널 디스플레이용 플랫 패널들과 같은 다른 임의의 적절한 웨이퍼 인터페이스들로 구성될 수 있다. 비록 도 1a에는 2개의 로드 포트 모듈들(11005)이 도시되었으나, 다른 태양들에서 임의의 적절한 수의 로드 포트 모듈들이 전방 단부(11000)에 결합될 수 있다. 로드 포트 모듈들(11005)은 오버헤드 이송 시스템, 자동화 안내 운송수단들, 인간 안내 운송수단들, 레일 안내 운송수단들 또는 임의의 다른 적절한 이송 방법으로부터 웨이퍼 캐리어들 또는 카세트들(11050)을 수용하도록 구성될 수 있다. 로드 포트 모듈들(11005)은 로드 포트들(11040)을 통해 미니-환경(11060)과 인터페이스될 수 있다. 일 태양에서, 로드 포트들(11040)은 웨이퍼 카세트들(11050)과 미니-환경(11060) 사이에서 웨이퍼들의 통로를 허용할 수 있다.
일 태양에서, 미니-환경(11060)은 일반적으로 여기에 설명되고 개시된 실시예의 하나 이상의 태양들과 결합할 수 있는 임의의 적절한 이송 로봇(11013)을 포함한다. 일 태양에서 로봇(11013)은 예를 들어 미국 특허 번호 제6,002,840호 및 제7,066,707호에 기술된 것와 같은 트랙 장착형 로봇 일 수 있으며, 이들의 개시내용들은 그 전체로서 여기에 참조로서 통합된다. 다른 태양들에서 임의의 적절한 구성을 갖는 다른 임의의 적절한 이송 로봇일 수 있다. 미니-환경(11060)은 다수의 로드 포트 모듈들 사이에서 웨이퍼 전달을 위한 제어된, 청정 영역을 제공할 수 있다.
진공 로드 락(11010)은 미니-환경(11060)과 후방 단부(11020) 사이에 위치될 수 있고, 미니-환경(11060) 및 후방 단부(11020)에 연결될 수 있다. 여기서 사용될 때, 진공이라는 용어는 그 안에서 웨이퍼가 처리되는 10-5 Torr 또는 그 미만와 같은 고진공을 가리킬 수 있음을 언급한다. 로드 락(11010)은 일반적으로 대기 및 진공 슬롯 밸브들을 포함한다. 슬롯 밸브들은 상기 대기압의 전방 단부로부터 웨이퍼를 로딩한 후 로드 락을 배기하기 위해 채용된 환경적 격리를 제공하고, 질소와 같은 불활성 가스로 상기 락을 배기할 때 이송 챔버 내의 진공을 유지하기 위해 채용된 환경적 격리를 제공할 수 있다. 일 태양에서, 로드 락(11010)은 웨이퍼의 기준점(fiducial)을 원하는 공정 위치로 정렬시키기 위한 정렬 장치(11011)를 포함할 수 있다. 반면 다른 태양들에서, 웨이퍼의 정렬은 여기에 설명된 상기 이송 로봇에 의해 이루어질 수 있다. 다른 태양들에서, 진공 로드 락은 처리 장치의 임의의 적절한 위치에 위치될 수 있고 임의의 적절한 구성 및/또는 계측(metrology) 설비를 가질 수 있다.
진공 후방 단부(11020)는 일반적으로 이송 챔버(11025), 하나 이상의 처리 스테이션(들) 또는 모듈(들)(11030) 및 임의의 적절한 이송 로봇(11014)을 포함한다. 이송 로봇(11014)은 아래에서 설명될 것이고, 이송 로봇(11014)은 로드 락(11010) 및 다양한 처리 스테이션들(11030) 사이에서 웨이퍼들을 이송하기 위하여 이송 챔버(11025) 내에 위치될 수 있다. 처리 스테이션들(11030)은 웨이퍼들 상에 전기적 회로 또는 다른 원하는 구조물을 형성하기 위하여 다양한 퇴적, 식각 또는 다른 타입의 공정들을 통하여 웨이퍼들에 대하여 동작할 수 있다. 통상적인 공정들은 플라즈마 식각 또는 다른 식각 공정들, 화학 기상 증착(chemical vapor deposition, CVD), 플라즈마 기상 증착(plasma vapor deposition, PVD), 이온 주입과 같은 주입, 계측, 급속 열처리 공정(rapid thermal processing, RTP), 건식 스트립 원자층 증착(atomic layer deposition, ALD), 산화/확산, 질화물들의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본더 및 증발과 같은 진공을 사용하는 박막 공정들 또는 진공 압력들을 사용하는 다른 박막 공정들을 포함할 수 있으나, 여기에 한정되는 것은 아니다. 처리 스테이션들(11030)은 웨이퍼들이 이송 챔버(11025)로부터 처리 스테이션들(11030)로 통과되고 그 반대로 통과되는 것을 허용하기 위하여 이송 챔버(11025)에 연결된다. 일 태양에서 로드 포트 모듈들(11005) 및 로드 포트들(11040)은 진공 후방 단부(11020)에 실질적으로 직접적으로 결합되어, 상기 로드 포트 상에 장착된 카세트(11050)가 실질적으로 직접적으로 (예를 들어, 일 태양에서 적어도 미니-환경(11060)은 생략되고, 다른 태양들에서 진공 로드 락(11010)이 생략되어 카세트(11050)가 진공 로드 락(11010)과 유사한 방식으로 진공으로 펌핑됨) 이송 챔버(11025)의 진공 환경 및/또는 처리 모듈(11030)의 공정 진공(예를 들어, 상기 공정 진공 및/또는 진공 환경은 공정 모듈(11030) 및 카세트(11050) 사이에서 연장되고 공통됨)에 인터페이스되도록 한다.
이제, 도 1c를 참조하면, 선형 웨이퍼 처리 시스템(2010)의 개략적인 평면도가 도시되며, 여기서 툴 인터페이스 섹션(2012)은 이송 챔버 모듈(3018)에 장착됨으로써 인터페이스 섹션(2012)은 대체로 이송 챔버(3018)의 길이 방향의 축(X)을 향하지만 (예를 들어, 내부를 향하지만) 길이 방향의 축(X)으로부터 오프셋되어 있다. 앞서 여기에 인용되어 통합된 미국 특허 번호 제8,398,355호에 기술된 바와 같이 다른 이송 챔버 모듈들(3018A, 3018I, 3018J)을 인터페이스들(2050, 2060, 2070)에 부착함으로써, 이송 챔버 모듈(3018)은 임의의 적절한 방향으로 연장될 수 있다. 각각의 이송 챔버 모듈(3018, 3018A, 3018I, 3018J)은 처리 시스템(2010)을 통해 웨이퍼들을 이송하고 예를 들어 처리 모듈들(PM)로 및 처리 모듈들(PM)부터 웨이퍼들을 이송하기 위하여 여기에 설명되고 개시된 실시예의 하나 이상의 태양들을 포함하는 임의의 적절한 웨이퍼 이송기(2080)을 포함한다. 알 수 있는 바와 같이, 각각의 챔버 모듈은 격리된 또는 제어된 분위기(예를 들어, 질소, 청정 공기, 진공)를 홀딩할 수 있다.
도 1d를 참조하면, 선형 이송 챔버(416)의 길이 방향 축(X)을 따라서 취해질 수 있는 것과 같은 예시적인 처리 툴(410)의 개략적인 정면도가 도시되어 있다. 도 1d에 도시되고 개시된 실시예의 일 태양에서, 툴 인터페이스 섹션(12)은 이송 챔버(416)에 대표적으로 연결될 수 있다. 일 태양에서, 인터페이스 섹션(12)은 툴 이송 챔버(416)의 일 단부를 한정할 수 있다. 도 1d에 도시된 바와 같이, 예를 들어 이송 챔버(416)는 인터페이스 스테이션(12)으로부터 대향되는 단부에 있는 다른 워크피스 반입/반출 스테이션(412)을 가질 수 있다. 다른 태양들에서, 이송 챔버로부터 워크피스들을 삽입/제거하기 위한 다른 반입/반출 스테이션들이 제공될 수 있다. 일 태양에서, 인터페이스 섹션(12) 및 반입/반출 스테이션(412)는 상기 툴로부터의 워크피스들의 로딩(loading) 및 언로딩(unloading)을 허용할 수 있다. 다른 태양들에서, 워크피스들은 일 단부에서 툴로 로딩될 수 있고, 다른 단부로부터 제거될 수 있다. 일 태양에서, 이송 챔버(416)는 하나 이상의 이송 챔버 모듈(들)(18B, 18i)을 가질 수 있다. 각각의 챔버 모듈은 격리된 또는 제어된 분위기(예를 들어, 질소, 청정 공기, 진공)를 유지할 수 있다. 전술한 바와 같이, 이송 챔버 모듈들(18B, 18i), 로드 락 모듈들(56A, 56) 및 도 1d에 도시된 이송 챔버(416)를 형성하는 워크피스 스테이션의 구성/배열은 단지 예시적인 것이고, 다른 태양들에서 이송 챔버는 원하는 모듈식 배열로 배치된 더 많거나 더 적은 모듈들을 가질 수 있다. 도시된 상기 태양에서, 스테이션(412)은 로드 락일 수 있다. 다른 태양들에서, 로드 락 모듈은 (스테이션(412)과 유사한) 단부 반입/반출 스테이션 사이에 위치될 수 있거나, 또는 (모듈(18i)과 유사한) 인접한 이송 챔버 모듈이 로드 락으로 동작하도록 구성될 수 있다.
전술한 것과 같이, 이송 챔버 모듈들(18B, 18i)은 하나 이상의 상응하는 이송 장치들(26B, 26i)를 가지고, 상기 이송 장치들(26B, 26i)은 여기에 설명되고 개시된 실시예의 하나 이상의 태양들을 포함할 수 있다. 각각의 이송 챔버 모듈들(18B, 18i)의 이송 장치들(26B, 26i)은 이송 챔버 내에서 선형적으로 분포된 워크피스 이송 시스템(420)을 제공하도록 협력할 수 있다. 일 태양에서, 이송 장치(26B)는 일반적인 선택적 순응 관절 로봇 아암의 구성을 가질 수 있고, 다른 태양들에서 이송 아암들은, 예를 들어, 도 1a 및 도 1b에 도시된 클러스터 툴의 이송 장치(11013, 11014), 도 2i에 도시된 선형 슬라이딩 아암(214), 또는 임의의 적절한 아암 링크 메커니즘을 갖는 다른 임의의 적절한 아암과 같은 임의의 다른 원하는 배열을 가질 수 있다. 아암 링크 메커니즘의 적절한 예들은, 예를 들어, 2009년 8월 25일에 발행된 미국 특허 번호 제7,578,649호, 1998년 8월 18일에 발행된 제5,794,487호, 2011년 5월 24일에 발행된 제7,946,800호, 2002년 11월 26일에 발행된 제6,485,250호, 2011년 2월 22일에 발행된 제7,891,935호, 2013년 4월 16일에 발행된 제8,419,341호, 2011년 11월 10일자로 제출된 “Dual Arm Robot”이라는 명칭의 미국 특허 출원 제13/293,717호, 2013년 9월 5일자로 제출된 “Linear Vacuum Robot with Z Motion and Articulated Arm"이라는 명칭의 미국 특허 출원 번호 제13/293,717호에서 찾을 수 있고, 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다. 개시된 실시예의 태양들에서, 적어도 하나의 이송 아암은 상부 아암, 밴드-구동형 포암(band-driven forearm) 및 밴드-구속형 엔드-이펙터(band-constrained end-effector), 또는 텔레스코핑 아암, 또는 데카르트 선형 슬라이딩 아암(Cartesian linearly sliding arm)과 같은 임의의 다른 적절한 아암 디자인을 포함하는 일반적인 선택적 순응 관절 로봇 아암(selective compliant articulated robot arm, SCARA)형 디자인으로부터 도출될 수 있고, 또한 여기에 더 설명되는 것과 같이 이러한 디자인 구성은 슬라이드 바디(420), 정렬 시스템(499) 및 엔드 이펙터(들)(420A, 420B, ... , 420n)를 포함한다. 예를 들어, 일 태양에서, 슬라이드 바디(420)는 임의의 적절한 관절형 이송 아암의 아암 링크에 장착된다. 이송 아암의 적절한 예들은, 예를 들면, 2008년 5월 8일에 제출된 "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism"라는 명칭의 미국 특허 출원 번호 제12/117,415호 및 2010년 1월 19일자로 발행된 미국 특허 번호 제7,648,327호에서 찾을 수 있고, 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다. 이송 아암의 동작은 서로 독립적 일 수 있고 (예를 들어, 각 아암의 신장/수축은 다른 아암들로부터 독립적이다), 로스트 모션 스위치를 통해 동작되거나 또는 아암들이 적어도 하나의 공통 구동축을 공유하도록 하는 임의의 적절한 방식으로 동작 가능하게 연결될 수 있다. 또 다른 태양들에서, 이송 아암들은 프로그-레그 아암 (도 2h의 216) 구성, 립 프로그 아암(도 2k의 217) 구성, 이중-대칭적 아암(도 2j의 218) 구성 등과 같은 다른 임의의 원하는 배열을 가질 수 있다. 이송 아암들의 적절한 예들은 2001년 5월 15일자로 발행된 미국 특허 번호 제6,231,297호, 1993년 1월 19일자로 발행된 제5,180,276호, 2002년 10월 15일자로 발행된 제6,464,448호, 2001년 5월 1일자로 발행된 제6,224,319호, 1995년 월 5일자로 발행된 제5,447,409호, 2009년 8월 25일자로 발행된 제7,578,649호, 1998년 8월 18일자로 발행된 제5,794,487호, 2011년 5월 24일에 발행된 제7,946,800호, 2002년 11월 26일자로 발행된 제6,485,250호, 2011년 2월 22일에 발행된 제7,891,935호, 및 2011년 11월 10일 제출된 "Dual Arm Robot"이라는 명칭의 미국 특허 출원 번호 제13/293,717호, 및 2011년 10월 11일에 제출된 "Coaxial Drive Vacuum Robot”이라는 명칭의 제13/270,844호에서 찾을 수 있고, 상기 특허문헌들의 개시 내용은 그들 전체가 여기에 인용되어 포함된다.
도 1d에 도시된 개시된 실시예의 태양에서, 이송 장치(26B)의 아암들 및/또는 엔드 이펙터들은 이송 이 픽/플레이스(pick/place) 위치로부터 웨이퍼들을 신속하게 교환하도록 허용하는 신속 교환 배열(fast swap arrangement)로 언급될 수 있는 것을 제공하도록 배열될 수 있다. 임의의 적절한 수의 자유도(예를 들어, Z축 움직임을 갖는 어깨 및 팔꿈치 관절들에 대해 독립적인 회전)를 갖는 각각의 아암을 제공하기 위하여, 이송 아암(26B)은 임의의 적절한 구동 섹션(예를 들어, 동축으로 배열된 구동 샤프트들, 나란한 구동 샤프트들, 수평으로 인접한 모터들, 수직으로 적층된 모터들 등)을 가질 수 있다. 도 1d에 도시된 바와 같이, 일 태양에서, 모듈들(56A, 56, 30i)은 이송 챔버 모듈들(18B, 18i) 사이에 위치될 수 있고 적절한 처리 모듈들, 로드 락(들), 버퍼 스테이션(들), 계측 스테이션(들) 또는 임의의 다른 원하는 스테이션(들)을 정의할 수 있다. 예를 들어, 로드 락들(56A, 56) 및 워크피스 스테이션(30i)과 같은 중간(interstitial) 모듈들 각각은 이송 챔버의 선형 축(X)을 따라 이송 챔버의 길이를 통해 이송 및 워크피스들을 실현하기 위하여 상기 이송 아암들과 협력하는 정지된 워크피스 지지대들/선반들(56S, 56S1, 56S2, 30S1, 30S2)을 가진다. 예로서, 워크피스(들)은 인터페이스 섹션(12)에 의해 이송 챔버(416) 내로 로딩될 수 있다. 워크피스(들)은 상기 인터페이스 섹션의 이송 아암(15)에 의해 로드 락 모듈(56A)의 상기 지지체(들) 상에 위치될 수 있다. 로드 락 모듈(56A) 내의 상기 워크피스(들)는 모듈(18B) 내의 이송 아암(26B)에 의해 로드 락 모듈 (56A)과 로드 락 모듈(56) 사이에서 이동될 수 있고, 이와 유사하고 연속적인 방식으로 (모듈(18i) 내의) 아암(26i)에 의해 로드 락(56)과 워크피스 스테이션(30i) 사이에서 이동될 수 있고, 모듈(18B) 내의 아암(26i)에 의해 스테이션(30i)과 스테이션(412) 사이에서 이동될 수 있다. 상기 공정은 워크피스(들)을 반대 방향으로 이동시키기 위하여 전체 또는 부분적으로 반전될 수 있다. 따라서, 일 태양에서, 워크피스들은 축(X)을 따른 임의의 방향으로 상기 이송 챔버를 따라 임의의 위치로 이동될 수 있고, 이송 챔버와 소통하는 임의의 원하는 모듈(처리 모듈 또는 다른 모듈)에 로딩되고 언로딩될 수 있다. 다른 태양들에서, 정적 워크피스 서포터들 또는 선반들을 갖는 중간 이송 챔버 모듈들은 이송 챔버 모듈들(18B, 18i) 사이에 제공되지 않을 수 있다. 이러한 태양들에서, 상기 이송 챔버를 통해 상기 워크피스를 이동시키기 위하여, 인접한 이송 챔버 모듈들의 이송 아암들은 하나의 이송 아암의 엔드 이펙터로부터 다른 이송 아암의 엔드 이펙터까지 워크피스들을 직접 전달할 수 있다. 상기 처리 스테이션 모듈들은 웨이퍼들 상에 전기적 회로 또는 다른 원하는 구조물을 형성하기 위하여 다양한 퇴적, 식각 또는 다른 타입의 공정들을 통하여 웨이퍼들에 대하여 동작할 수 있다. 상기 처리 스테이션 모듈들은 웨이퍼들이 상기 이송 챔버로부터 처리 스테이션들로 통과되고 그 반대로 통과되는 것을 허용하기 위하여 상기 이송 챔버 모듈들에 연결된다. 도 1d에 도시된 상기 처리 장치와 대체로 유사한 특징들을 갖는 처리 툴의 적절한 예는 앞서 그 전체가 인용되어 통합된 미국 특허 번호 제8,398,355호에 설명된다.
도 2a 내지 도 2d를 참조하면, 처리 툴은 각각 하나 이상의 이송 챔버들(3001-3003) 및 복수의 처리 모듈들(11030)(예를 들어, 선형 클러스터 툴의 조합)을 갖는 하나 이상의 클러스터 워크스테이션들(3010 내지 3013)을 갖는 선형 처리 툴들(3000, 3000A, 3000B, 3000C)로 도시된다. 일 태양에서 상기 선형 처리 툴(3000, 3000A, 3000B, 3000C)은 2014년 8월 11일에 제출된 “Substrate Processing Apparatus”라는 명칭의 미국 특허 출원 번호 제14/377,987에 설명된 것들과 실질적으로 유사하고, 상기 특허문헌의 개시 내용은 그 전체가 여기에 인용되어 통합된다. 일 태양에서 클러스터 워크스테이션들(3013-3013)은 앞서 설명된 후방 단부(11020)와 실질적으로 유사하다. 클러스터 워크스테이션들(3013-3013)은 하나 이상의 이송 챔버들(3020, 3021) 및 하나 이상의 선형 이송 터널들(3030)에 의해 서로 연결된다. 알 수 있는 바와 같이, 각각의 이송 챔버들(3020, 3021)은 이송 로봇(3023)을 포함한다. 알 수 있는 바와 같이, 도 2e 내지 도 2g를 참조하면, 일 태양에서 선형 이송 터널(3030)은 공통 터널을 형성하기 위해 서로 연결된 터널 모듈들로 형성되고, 상기 터널 모듈들은 그 내부에 배치되고 상기 공통 터널의 길이로 횡단하도록 구성된 하나 이상의 이송 로봇들(3033) 갖는다. 예를 들어, 선형 이송 터널(3030)은 임의의 적절한 길이를 갖는 진공 터널을 형성하도록 함께 밀봉되어 결합될 수 있는 하나 이상의 진공 터널 모듈들(3030A 내지 3030n)을 포함하는 진공 터널이다. 각각의 진공 터널 모듈(3030A 내지 3030n)은 상기 진공 터널 모듈들 서로 간의 연결 및/또는 진공 터널 모듈들과 여기에 설명된 상기 처리 툴의 임의의 다른 적절한 모듈들의 연결을 허용하기 위하여 진공 터널 모듈(3030A 내지 3030n)의 각 단부에 있는 연결 포트(3090)를 포함한다. 상기 태양에서, 각각의 진공 터널 모듈(3030A 내지 3030n)은 각각의 진공 터널 모듈(3030A 내지 3030n)을 통해 (여기에 설명되고 개시된 실시예의 태양들을 포함하는) 적어도 하나의 이송 카트(2530)를 구동하기 위한 적어도 하나의 이송 카트 가이드(3080) 및 적어도 하나의 모터 컴퍼넌트(3081)를 포함한다. 포트들은(3090)은 상기 포트들을 통한 상기 이송 카트들의 통과를 허용하기 위한 크기임을 언급한다. 알 수 있는 바와 같이, 2개 이상의 진공 터널 모듈들(3030A 내지 3030n)이 서로 결합될 때, 각각의 진공 챔버 모듈(3030A 내지 3030n)의 상기 적어도 하나의 이송 카트 가이드(3080)는 진공 터널(3030)의 길이 방향 단부들(3030E1, 3030E2) 사이에서 이송 카트(2530)의 통과를 허용하기 위하여 진공 터널(3030)을 통해 연장하는 실질적으로 연속적인 이송 카트 가이드를 형성한다. 각각의 진공 챔버 모듈들(3030A 내지 3030n)의 적어도 하나의 모터 컴퍼넌트(3081)는 또한 진공 터널(3030)의 상기 단부들(3030E1, 3030E2) 사이에서 상기 이송 카트의 실질적으로 연속적인 구동 이동을 허용하는 실질적으로 연속적인 모터 컴퍼넌트를 형성한다.
또한 도 2g를 참조하면, 단지 예시적인 목적으로 2개의 진공 터널 모듈들(3030A, 3030B)을 갖는 진공 터널(3030)의 일부가 도시되어 있다. 일 태양에서, 엔드 이펙터(2530S, 2531S) 상에 홀드된 웨이퍼(S)를 진공 모듈들(3040)과 같은 임의의 적절한 기판 홀딩 스테이션으로 이송하거나 웨이퍼들(S)을 실질적으로 직접적으로, 예를 들어, 장비 전방 단부 모듈(11060) 또는 이송 모듈들(3020, 3021) 내에 위치된 이송 로봇으로 전달하기 위하여, 진공 터널(3030) 내에서 동작하는 이송 카트들(2530, 2530A)의 엔드 이펙터들(2530S, 2531S)은 각각의 엔드 이펙터(2530S, 2531S)가 상기 터널 밖으로 소정 거리(DE)만큼 연장하도록 진공 터널(3030) 내에서 길이 방향으로 연장하도록 구성된다. 다른 태양들에서 엔드 이펙터들(2530S, 2531S)은 임의의 적절한 구성 및 형태를 가진다. 상기 태양에서 엔드 이펙터들(2530S, 2531S)은 공통된 방향, 예를 들어 진공 터널(3030)의 길이 방향 단부(3030E1)을 향하고 엔드 이펙터들(2530S, 2531S)는 기판들(S)을 이송하기 위한 상기 단부(3030E1)를 지나서만 연장할 수 있다. 알 수 있는 바와 같이, 여기에 설명된 이송 로봇들과 같은, 진공 터널(3030)의 길이 방향 단부(3030E2)에 있는 임의의 자동화는 실질적으로 직접적으로 웨이퍼들(S)을 엔드 이펙터들(2530S, 2531S)에 픽킹 및 위치시키기 위하여 소정 양(DL)만큼 진공 터널(3030) 안으로 연장되도록 구성된다. 다른 태양들에서, 엔드 이펙터들(2530S, 2531S)은 진공 터널(3030)의 양 단부들(3030E1, 3030E2)로부터 연장되도록 반대 방향을 향한다. 하나 이상의 이송 챔버들(3020, 3021) 및 선형 이송 터널들(3030)은, 예컨대, 여기에 설명된 로드 락(11010)과 실질적으로 유사한 로드 락(3040)에 의해 (예를 들어, 상기 처리 툴의 하나 이상의 단부들에 위치된) 하나 이상의 장비 전방 단부 모듈(11060)에 연결된다.
이제 도 3을 참조하면, 개시된 실시예의 태양들은 대기압의 이송 로봇(11013)에 관하여 설명될 것이지만, 개시된 실시예의 태양들은 도 2a 내지 도 2g에 도시된 것과 같은 진공 이송 로봇들(11014, 11014A, 11014B, 2080, 3023 및 2530)에 동일하게 적용 가능하다는 것을 이해하여야 한다. 알 수 있는 바와 같이, 이송 로봇(11013, 11014, 11014A, 11014B, 2080, 3023 및 2530)은 (후술되는 것과 같이) 적어도 X 및/또는 Y 방향들로 이동 가능하게 선형 슬라이드 또는 붐 아암(앞서 그 전체가 여기에 인용되어 통합된 미국 특허 출원 번호 제14/377,987호에 설명된 것과 같은, 도 2b의 BA)에 장착되고, 반면 다른 태양들에서 이송 로봇(11013, 11014, 11014A, 11014B, 2080, 3023 및 2530)은 X 및/또는 Y 방향의 이동에 대하여 고정되도록 상기 선형 슬라이드 또는 상기 붐 아암에 장착된다. 도시된 구성은 설명의 목적으로만 표시되고 예시된 컴퍼넌트들의 배열, 형태들 및 위치는 본 발명의 범위를 벗어나지 않는 한 원하는 대로 변경될 수 있다.
도 3에 도시된 것과 같이, 일 태양에서, 이송 로봇(11013)은 장비 전방 단부 모듈(11060)의 프레임(11060F)에 이동 가능하게 장착되고, 또는 다른 실시예들에서 진공 터널(3030) 및/또는 이송 모듈들(3018, 3020, 3021)의 프레임과 같은 상기 처리 툴의 임의의 적절한 모듈의 프레임에 이동 가능하게 장착된다. 상기 태양에서, 이송 로봇(11013)은 하나 이상의 X, Y, Z, θ 및 R(엔드 이펙터의 신장) 축들을 따라 웨이퍼를 이동시키기 위한 임의의 적절한 수의 구동 축들을 포함한다. 예를 들어, 이송 로봇(11013)은 이송 아암(11013TA)이, 일 태양에서, 이송 아암(11013TA)이 프레임(11060F)에 이동 가능하게 장착되도록 캐리지(363)에 장착된다. 캐리지(363)는 일 태양에서 X 방향으로 이동 가능하도록 슬라이드(363S)에 장착되고, 반면 다른 태양들에서 캐리지(363S)는 X (및/또는 Y 방향)으로 고정되도록 프레임(11060F)에 장착된다. 일 태양에서, 임의의 적절한 드라이브(367)는 프레임(11060F)에 장착되고 X 방향으로 상기 베이스를 이동시키기 위한 임의의 적절한 트랜스미션(367T)에 의해 캐리지(363)에 구동 가능하게 연결된다. 상기 태양에서 상기 트랜스미션은 벨트 및 풀리 트랜스미션이고 상기 드라이브는 회전 드라이브 이지만, 다른 태양들에서 상기 드라이브는 임의의 적절한 트랜스미션을 구비한 캐리지(363)에 구동 가능하게 연결된 선형 액츄에이터이거나 또는 (상기 캐리지가 상기 선형 액츄에이터의 드라이브 부분을 포함하는 경우와 같이) 트랜스미션을 구비하지 않은 캐리지(363)에 구동 가능하게 연결된 선형 액츄에이터이다. 여기서 이송 아암(11013TA)은 회전 드라이브(362), Z-드라이브 칼럼(380), 슬라이드 바디(420) 및 하나 이상의 엔드 이펙터들을 포함한다. 회전 드라이브(362)는 캐리지(363)에 장착된 임의의 적절한 회전 드라이브이고, Z-드라이브 칼럼(380)은 θ 축(예를 들어 θ 방향)을 중심으로 화살표(T) 방향으로 회전하도록 회전 드라이브(362)의 아웃풋에 장착된다. 슬라이드 바디(420)는 Z-드라이브 칼럼(380)에 이동 가능하게 장착되며, 여기서 Z- 드라이브 칼럼(380)은 슬라이드 바디(420)를 Z 방향으로 이동시키기 위한 임의의 적절한 구동 모터 및/또는 트랜스미션을 포함한다. 알 수 있는 바와 같이, 슬라이드 바디(420)에 대한 Z-드라이브 칼럼(380)의 상대적인 위치는 엔드 이펙터들(420A, 420B) 및 웨이퍼들의 적절한 횡단을 위한 충분한 간극(clearance)을 제공하여 후술되는 하나 이상의 센서들(450, 451)에 의한 웨이퍼 감지가 수행되도록 한다.
또한, 도 4a 내지 도 4c를 참조하면, 하나 이상의 (예를 들어, 적어도 하나의) 웨이퍼 홀더들 또는 엔드 이펙터들(420A, 420B)은 R 방향으로 신장 및 수축되도록 임의의 적절한 방식으로 슬라이드 바디(420)에 이동 가능하게 장착된다. 2 개의 엔드 이펙터들(420A, 420B)은 예시적인 목적으로만 도시되며, 임의의 적절한 수의 엔드 이펙터들이 슬라이드 바디(420)에 장착되는 것으로 이해되어야 한다. 예를 들어, 일 태양에서 하나의 엔드 이펙터는 여기에 설명된 방식으로 웨이퍼(들)의 이송 및 정렬을 수행하기 위하여 슬라이드(420)에 장착된다. 다른 태양들에서, 2개 보다 많은 엔드 이펙터들이 여기에 설명된 방식으로 웨이퍼(들)의 이송 및 정렬을 실현하기 위하여 슬라이드(420)에 장착된다. 알 수 있는 바와 같이, 상기 하나 이상의 엔드 이펙터들은, 상기 프레임에 대해 제1 방향(예를 들어, X, Y 및 Z 방향들 중 하나 이상)으로 이송 아암(11013TA)과 함께 하나의 단위로서 횡단하고, 이송 아암(11013TA)에 대하여 상기 제1 방향과 상이한 제2 방향(예를 들어 R 방향)으로 선형적으로 횡단한다. 슬라이드 바디는 상기 R 방향으로 각각의 엔드 이펙터(420A, 420B)를 독립적으로 이동시키도록 구성된 하나 이상의 선형 드라이브들(425)을 포함한다. 하나 이상의 선형 드라이브들(425)은 일 태양에서 예를 들어 2013년 12월 17일자로 제출된 “Substrate Transport Apparatus”라는 명칭의 미국 임시 특허출원 제61/917,056호에 설명된 것들과 실질적으로 유사한 임의의 적절한 트랜스미션을 갖는 임의의 적절한 드라이브(들)이고, 상기 특허 문헌의 개시 내용은 그 전체가 여기에 인용되어 통합된다. 엔드 이펙터들(420A, 420B)은 슬라이드 바디(420) 상에 배열되고, 엔드 이펙터들(420A, 420B)은 신장 및 수축의 공통 축(R)을 가지도록 서로 적층된다.
하나 이상의 센서들(450, 451)은 슬라이드 바디(420)에 장착되고 이들은 웨이퍼의 직경, 웨이퍼 반경 방향 런아웃(runout), 정렬 기준점(FID) (예를 들어, 노치/플랫, 마크 또는 다른 형상)의 위치, 웨이퍼 중심선의 위치, 웨이퍼 중심의 위치 또는 웨이퍼 식별과 같이 엔드 이펙터들(420A, 420B)에 의해 운반되는 웨이퍼(들)에 관련된 임의의 다른 적절한 정보와 같은 웨이퍼의 하나 이상의 소정의 특성들을 결정하기 위하여 웨이퍼의 엣지를 측정/감지하도록 배열된다. 알 수 있는 바와 같이, 상기 하나 이상의 센서들은 하나 이상의 센서들(450, 451)이 프레임(11060F)에 대하여 이송 아암(11013TA)과 함께 하나의 단위로서 이동하도록 이송 아암(11013TA)에 장착되고, 여기서 아래에 설명되는 것과 같이, 하나 이상의 센서들(450, 451)은 하나 이상의 엔드 이펙터들(420A, 420B)에 의해 동시에 지지된 하나 보다 많은 웨이퍼들 각각의 웨이퍼의 온-더-플라이 엣지 감지를 실현하는 공통 센서(예를 들어 각각의 엔드 이펙터 및 그 위로 운반되는 웨이퍼에 공통됨) 이다. 아래에서 보다 상세히 설명되는 바와 같이, 하나 이상의 센서들(450, 451)은 각 웨이퍼의 온-더-플라이 엣지 감지가 이송 아암(11013TA) 상의 둘 이상의 엔드 이펙터(420A, 420B)의 각 엔드 이펙터(420A, 420B)의 제2 방향 횡단에 의해 수행되고 그와 일치되도록 구성된다. 예를 들어, 상기 제2 방향으로의 각각의 엔드 이펙터(420A, 420B)의 횡단은 엔드 이펙터(들)(420A, 420B)에 의해 동시에 지지된 하나 보다 많은 웨이퍼들 중 엔드 이펙터(420A, 420B) 상에 안착된 대응하는 웨이퍼를 적어도 상기 웨이퍼의 엣지 감지를 수행하는 센서들(450, 451)에 대해 선형적으로 이송한다. 일 태양에서 상기 센서들은 아래에서 보다 상세히 설명되는 바와 같이 웨이퍼 엣지 위치(WE1, WE2) 및/또는 웨이퍼 기준점을 측정/감지하도록 구성된 브레이크-더-빔 센서 또는 라인 스캔 센서/카메라(451)와 같은 하나 이상의 광학 센서들을 포함한다. 일 태양에서, 센서(451)는 (예를 들어, 선행(leading) 엣지 및 후행(trailing) 엣지와 같은) 웨이퍼의 엣지(WE1, WE2)를 감지하고, 그로부터 상기 이송 로봇 및/또는 기판 스테이션의 기준 프레임과 같은 소정의 기준 프레임에 대한 오정렬(misalignment) 및 웨이퍼의 위치를 식별하도록 구성된다. 일 예로서, 센서(451)가 브레이크-더-빔(break-the-beam) 센서인 경우, 센서(451)는 웨이퍼 엣지 상의 지점들을 감지한다. 센서(451)가 (CCD 어레이 또는 임의의 다른 적합한 스캐너와 같은) 라인 스캔 센서/카메라인 경우, 상기 센서는 웨이퍼 엣지의 아크를 감지한다(예를 들어, 웨이퍼 엣지의 아크를 한정하는 다수의 지점들의 감지, 또는 웨이퍼 엣지의 연속적인 또는 실질적으로 연속적인 스캔을 통해 수행됨). 또 다른 태양에서 상기 센서들은 또한, 예를 들어, 영숫자 식별자들, 2 차원 코드들 또는 웨이퍼 상에 위치된 다른 적절한 식별 표시와 같은 예컨대 웨이퍼 식별 마킹 특징들을 판독하도록 구성된 임의의 적절한 카메라(450)를 포함한다. 도 4d를 간략하게 참조하면 상기 카메라는, 일 태양에서, 하나 이상의 상부 표면(TS)(예를 들어, 상기 웨이퍼가 위치된 상기 엔드 이펙터로부터 멀어지는 면), 하부 표면(BS)(예를 들어, 상기 웨이퍼가 위치된 상기 엔드 이펙터와 마주하는 면) 및 웨이퍼(S)의 주변 엣지(ES)를 감지하도록 구성된다.
다시 도 4a 내지 도 4c를 참조하면, 임의의 적절한 회전 척 또는 (예를 들어, 위에서 언급된 상기 정렬 장치와 같은) 스피너(460)는 슬라이드 바디(420)와 연결되어 슬라이드 바디(420)와 함께 하나의 단위로서 이동한다. 척(460)은 이송 로봇 상에 배치되어 각각의 엔드 이펙터(420A, 420B)와 협력하고 엔드 이펙터(420A, 420B) 상에 안착된 상기 대응하는 웨이퍼의 회전 및 상기 웨이퍼 상의 광학식 문자 인식 마크들를 발견하고 판독하는 것, 상기 웨이퍼의 기준점을 감지하는 것, 상기 웨이퍼의 중심을 찾는 것, 및 (웨이퍼 정렬을 위해 재배치를 수행하는 것과 같은) 웨이퍼를 회전시켜 배치하는 것 중 하나 이상을 실현한다. 척(460)은 척의 회전 중심(θ2)이 엔드 이펙터들(420A, 420B)의 중심선(CL)과 실질적으로 일치하도록 슬라이드 바디(420)에 회전 가능하게 장착되고, 반면 다른 태양들에서는 척(460)은 각각의 엔드 이펙터들(420A, 420B)과 척(460) 사이에서 웨이퍼(들)의 전달을 허용하는 상기 엔드 이펙터들과 임의의 적절한 공간적 관계를 가진다. 일 태양에서, 척(460)은 능동 엣지 파지 척(상기 척은 웨이퍼의 엣지를 파지하는 이동 가능한 그리퍼들을 포함함), 수동 파지 척(예를 들어, 웨이퍼가 척의 수동 서포트 패드들 상에 놓임) 또는 진공 파지 척이다. 일 태양에서 도 4c 및 도 4d를 참조하면 척(420)은 적어도 2 자유도를 포함한다. 예를 들어, 상기 척은 축(θ2)에 대해 회전 가능하고 축(θ2)을 따라 Z 방향으로 선형적으로 이동 가능하다. 척(460)은 드라이브 샤프트(477)를 각각 회전 및 선형 운동으로 구동하는 회전 드라이브(475) 및 Z 축 드라이브(476)를 포함하는 척 드라이브(460D)를 포함한다. 예를 들어, 드라이브(460D)는 드라이브 샤프트(477)가 위치된 회전 스플라인 베어링(478)을 포함한다. 드라이브 샤프트(477)는 회전 스플라인 베어링(478)이 회전함에 따라 드라이브 샤프트(477)가 그와 함께 회전하도록 회전 스플라인 베어링(478)의 스플라인들과 인터페이스하는 스플라인들을 포함한다. 풀리(478P)는 회전 스플라인 베어링에 고정되고 트랜스미션(475T)를 통해 회전 드라이브(475)에 의해 구동된다. 알 수 있는 바와 같이, 풀리(478P)가 회전함에 따라 회전 스플라인 베어링(478)은 그와 함께 회전한다. 드라이브 샤프트(477)는 수직 드라이브 트랜스미션(476T)의 벨트(476TB)에 고정된, 예를 들어 캐리지(477C)에 의해 회전 스플라인 베어링(478) 내에서 Z 방향으로 지지되어, 상기 벨트가 Z 방향으로 이동할 때 캐리지(477C)는 그와 함께 이동한다. 벨트는 풀리들과 함께 Z 축 드라이브(476)에 의해 구동되거나 또는 또는 임의의 다른 적절한 방식으로 구동된다. 캐리지(477C)는 캐리지(477C) 내에서 드라이브 샤프트(477)를 지지하도록 구성된, 예를 들어 쓰러스트(thrust) 베어링과 같은 임의의 적절한 지지용 베어링(479)을 포함한다. 다른 태양들에서, 트랜스미션들(476T, 475T) 및 드라이브들(475, 476)은 θ2 및 Z 축들에 대해 상기 드라이브 샤프트를 이동시키기 위한 임의의 적절한 구성, 및 그에 장착된 상기 척을 갖는다. 드라이브(460D)는 슬라이드 바디(420) 아래에 있는 것으로 도시되어 있지만, 다른 태양들에서 드라이브(460D)의 적어도 일부는 슬라이드 바디(420)의 측면에 위치한다는 것을 이해하여야 한다.
도 4d를 참조하고 전술한 바와 같이, 이송 로봇(11013) (및 여기에 설명된 다른 이송 로봇들)과 같은 처리 툴 및 그의 컴퍼넌트들은 웨이퍼 정렬을 수행하기 위하여 여기에 설명된 방식으로 적어도 하나 이상의 센서(450, 451)를 지나서 각각의 엔드 이펙터(420A, 420B ... 420n)의 선형 횡단을 순환(cycle)시키고 적어도 각각의 엔드 이펙터(420A, 420B ... 420n)에 의해 홀드된 각각의 대응하는 웨이퍼의 엣지 감지를 실현하도록 구성된다. 여기서, (적어도 엔드 이펙터(들)(420A, 420B ... 420n), 척(460) 및 그의 드라이브(460D) 및 하나 이상의 센서들(450, 451)을 포함하는) 이송 로봇 정렬 시스템(499)은, 예컨대, 컨트롤러(11091) 또는 여기에 설명된 방식으로 이송 로봇 정렬 시스템(499)을 동작시키도록 구성된 임의의 다른 적절한 컨트롤러일 수 있다.
다시, 도 1b, 도 1c, 도 2a, 그리고 또한 도 5a 및 도 5b를 참조하면, 전술한 바와 같이 개시된 실시예의 태양들은, 일 태양에서, 진공 이송 로봇들(11014, 11014A, 11014B, 2080, 3023)에 포함된다. 예를 들어, 이송 아암(2080)은 전술한 것들과 실질적으로 유사한 슬라이드 바디(420) 및 엔드 이펙터들(420A, 420B)을 포함하지만, 상기 태양에서 이송 로봇(2080)은 상기 캐리지/베이스(4001)가 X 및/또는 Y 방향들로 정지되도록 또는 고정되도록 장착된다. 알 수 있는 바와 같이, 진공 환경에서 이송 로봇(2080)의 동작을 허용하기 위해 이송 로봇들(2080)은 (일 태양에서, 앞서 그 전체가 여기에 인용되어 통합된 미국 임시 출원 번호 제61/917,056 호에 설명된 것들과 실질적으로 유사한) 슬라이드 바디(420) 및 엔드 이펙터들(420A, 420B)에 대한 임의의 적절한 밀봉들(seals) 및 드라이브를 포함한다. 전술한 바와 같이, 슬라이드 바디(420)는 척(460) 및 하나 이상의 센서들(450, 451)을 포함한다.
유사하게, 일 태양에서 이송 로봇(11014)은 이송 로봇(2080)과 유사한 단일 신장 축(R) 로봇이지만, 다른 태양들에서 이송 로봇(11014A, 11014B)은 웨이퍼들을 나란한(side-by-side) 기판 홀딩 영역들로 이송하도록 구성된 복수 신장 축(R1, R2) 로봇이다. 일 태양에서, 이송 로봇(11014A, 11014B)은 앞서 그 전체가 여기에 인용되어 통합된 미국 임시 출원 번호 제61/917,056 호에 설명된 것들과 실질적으로 유사하다. 여기서, 각각의 연장 축(R1, R2)은 각각의 축(R1, R2)을 따라 연장하도록 구성된 하나 이상의 엔드 이펙터들(420A1, 420B1, 420A2, 420B2)을 포함한다.
도 5a를 참조하면, 이송 로봇(11014A)과 관련하여, 하나 이상의 엔드 이펙터들(420A1, 420B1, 420A2, 420B2)은 전술한 각각의 신장 축(R1, R2)을 따른 이동과 실질적으로 유사한 방식으로 각각의 슬라이드 바디 모듈(420-1, 420-2)에 장착된다. 슬라이드 바디 모듈들(420-1, 420-2)은 하나 이상의 엔드 이펙터들(420A1, 420B1, 420A2, 420B2) 각각의 개별적인 신장/수축을 위해 구성된 임의의 적절한 드라이브들을 포함하는 드라이브 모듈(425A)에 장착된다. 드라이브 모듈(425A)은 전술한 베이스(4001)와 실질적으로 유사한 베이스(4001A)에 장착된다. 각각의 슬라이드 바디 모듈(420-1, 420-2)은 전술한 것과 실질적으로 유사한 방식으로 척(460) 및 하나 이상의 센서(450, 451)를 포함한다.
도 5b를 참조하면, 슬라이드들(425S)이 엔드 이펙터(들)(420A1, 420B1) 및 엔드 이펙터(들)(420A2, 420B2) 사이에 배치되도록, 각각의 엔드 이펙터들(420A1, 420B1, 420A2, 420B2)은 (도 4a 및 도 6에 도시된 바와 같이 상기 슬라이드 바디의 측면들로부터 신장되는 것과 달리) 슬라이드 바디(420)의 중심선 (CL)을 향해 배치된 각각의 슬라이드들(425S)로부터 신장하고 의존한다. 각각의 슬라이드들(425S)은 하나 이상의 엔드 이펙터들(420A1, 420B1, 420A2, 420B2) 각각의 개별적인 신장/수축을 수행하기 위한 임의의 적절한 드라이브(425)를 포함한다. 슬라이드 바디(420)는 전술한 베이스(4001)와 실질적으로 유사한 베이스(4001B)에 장착되고, 엔드 이펙터(들)(420A1, 420B1)에 공통된 하나 이상의 센서들(450, 451) 및 척(460), 그리고 엔드 이펙터(들)(420A2, 420B2)에 공통된 하나 이상의 센서들(450, 451) 및 척(460)을 포함한다.
또한, 도 2g를 참조하면 진공 터널(3030)의 상기 이송 시스템은 하나 이상의 진공 터널 모듈들(3030A 내지 3030n)이 엔드 이펙터들(2530S, 2531S)이 의존하고 이동하는 슬라이드 바디(420M)의 일부를 형성하는 개시된 실시예의 태양들을 포함한다. 슬라이드 바디(420M)는 척(460) 및 하나 이상의 센서들(450, 451)을 포함하는 플랫폼(420P)을 포함한다. 플랫폼(420P)은 상기 슬라이드 바디 내에 각각의 엔드 이펙터들(2530S, 2531S)에 대해 상대적으로 위치되어, 웨이퍼들은 척(460)과 각각의 엔드 이펙터들(2530S, 2531S) 사이에서 전달되고 엔드 이펙터들(2530S, 2531S)이 플랫폼(420P)을 통과할 때 하나 이상의 센서들(450, 451)은 여기에 설명된 바와 같이 온-더-플라이 웨이퍼 정렬을 수행하기 위해 여기에 설명된 바와 같이 웨이퍼의 특징들을 판독/감지한다.
이제 도 4a 내지 도 4c, 도 6a 및 도 6b를 참조하면 개시된 실시예의 태양들의 예시적인 동작이 설명될 것이다. 알 수 있는 바와 같이, 하나 이상의 엔드 이펙터들(420A, 420B ... 420n)은 임의의 적절한 기판 홀딩 컨테이너들(예를 들어, 전면 개방형 통합 포드들/FOUPS, 폐쇄형/개방형 카세트들 또는 수송 컨테이너/FOSBYS 등) 및/또는 임의의 적절한 기판 홀딩 스테이션(예를 들어, 처리 모듈들, 계측/측정 스테이션들 등)에 웨이퍼들(S)을 집어들도록 내려놓도록(pick and place) 동작한다. 일 태양에서, 하나 이상의 웨이퍼들(S)은, 예를 들어, 이송 로봇(11013)의 하나 이상의 엔드 이펙터들(420A, 420B ... 420n) 각각에 의해 집어들어진다(도 7a, 700 블록). 이송 로봇(11013)은 단지 예시적인 목적을 위해서만 상기 예에서 사용되고, 다른 태양들에서 여기서 설명된 임의의 상기 대기압 및/또는 진공 이송 로봇들은 이송 로봇(11013)에 관하여 여기에 설명된 것과 실질적으로 유사한 방식으로 동작된다. 집어들기 동안, 엔드 이펙터(420A, 420B ... 420n)는 R 방향을 따라 웨이퍼 홀딩 위치로 신장되고(예를 들어, 선형적으로 횡단하고), 상기 웨이퍼는 상기 웨이퍼 홀딩 위치로부터 들어 올려진다. 그 위에 대응하는 웨이퍼가 있는 엔드 이펙터(420A, 420B ... 420n)는 웨이퍼를 웨이퍼 홀딩 위치로부터 제거하기 위해 R 방향으로 수축된다(예를 들어, 선형적으로 횡단된다). 엔드 이펙터(420A, 420B ... 420n) 상에서 웨이퍼(S)가 예를 들어 위치(P3)로부터 수축된 위치(P1)로 후퇴될 때, 웨이퍼(S)는 하나 이상의 센서들(451, 450)을 통과하고 웨이퍼(S)의 적어도 하나의 엣지(WE1, WE2)(예를 들어, R 방향에 따른 웨이퍼 이동 경로에 대해 상대적인 선행 및 또는 후행 엣지들)가 감지된다(도 7a, 705 블록). 일 태양에서, 하나 이상의 다른 웨이퍼들(W)은 다른 엔드 이펙터들(420A, 420B ... 420n)에 의해 집어들어지므로, 이송 로봇(11013)의 하나 이상의 엔드 이펙터들(420A, 420B ... 420n)은 각각 대응하는 웨이퍼(S)를 홀딩한다(예를 들어, 하나 보다 많은 웨이퍼들은 하나 이상의 엔드 이펙터들(420A, 420B ... 420n)에 의해 동시에 홀드된다(도 7a, 700 블록). 각각의 웨이퍼가 엔드 이펙터에 의해 후퇴됨에 따라, 각각의 웨이퍼는 대응하는 적어도 하나의 엣지(WE1, WE2)를 감지하기 위한 하나 이상의 센서들(150, 151)을 통과한다(도 7a, 705 블록). 전술한 바와 같이, (도 6b에 도시된 바와 같이) 슬라이드 바디(420)에 대한 Z-드라이브 칼럼(380)의 상대적인 위치는 엔드 이펙터들(420A, 420B) 및 웨이퍼들의 적절한 횡단을 위한 충분한 간극을 제공하여 하나 이상의 센서들(450, 451)에 의한 웨이퍼 감지가 수행되도록 한다. 예시적인 목적을 위해, 일 태양에서 Z-드라이브 칼럼(380)은 상기 엔드 이펙터들의 신장 및 수축의 축(R)에 대해 약 45°의 각도로 배향되지만, 다른 태양들에서는 Z-드라이브 칼럼(380)은 상기 엔드 이펙터들의 신장 및 수축의 축(R)에 대해 임의의 적절한 각도로 위치된다. 알 수 있는 바와 같이, 컨트롤러(11091)는 각각의 엔드 이펙터 상에 홀드된 각 웨이퍼에 대응된 데이터를 저장하기 위한 임의의 적절한 메모리를 포함한다. 예를 들면, 엔드 이펙터(420A)가 엣지(들)(WE1, WE2)를 잡아당김에 따라 엔드 이펙터(420A)에 홀드된 웨이퍼(W)가 감지되고 그 위치 데이터는 상기 컨트롤러 메모리에 저장되고, 엔드 이펙터(420B)가 엣지(들)(WE1, WE2)를 잡아당김에 따라 엔드 이펙터(420B)에 홀드된 웨이퍼(W)가 감지되고 그 위치 데이터는 상기 컨트롤러 메모리에 저장되는 것 등이다.
하나 이상의 웨이퍼들(W)이 이송 로봇(11013)에 의해 이송됨에 따라, 하나 이상의 웨이퍼들(W)은 선택적으로 정렬된다. 예를 들어, 컨트롤러(11091)는 웨이퍼가 척(460) 위의 위치(P2)에 선택적으로 위치되도록 대응하는 엔드 이펙터(420A, 420B ... 420n)를 부분적으로 신장시킨다(도 7a, 710 블록). 일 태양에서, 컨트롤러(11091)는 위에 기술된 바와 같이 상기 대응하는 엔드 이펙터(420A, 420B ... 420n)가 수축되었을 때 얻어진 상기 저장된 위치 데이터를 사용하여 척(460) 위에 웨이퍼를 위치시키기 위해 상기 대응하는 엔드 이펙터(420A, 420B ... 420n)를 신장시킨다. 다른 태양들에서, 척(460)에 대한 웨이퍼(W)의 포지셔닝을 수행하기 위해 상기 웨이퍼가 상기 R 방향으로 횡단되는 동안에, 하나 이상의 센서들(450, 451)은 상기 대응하는 엔드 이펙터(420A, 420B ... 420n)의 부분적인 신장 동안 웨이퍼(W)의 둘레의 엣지를 감지하기 위해 능동적으로 이용된다. 척(460)은 상기 대응하는 엔드 이펙터(420A, 420B ... 420n)로 척(460) 위에 위치된 웨이퍼를 들어올리기 위하여 Z 방향(도 4c)으로 이동된다(도 7a, 715 블록). 척(460)은 웨이퍼(W)의 (전술한 바와 같은) 적어도 하나의 소정의 특성을 감지 및/또는 측정하기 위해 그 위의 상기 웨이퍼 함께 회전된다(예를 들어, 상기 웨이퍼는 상기 척과 함께 회전함)(도 7a, 720 블록). 알 수 있는 바와 같이, 웨이퍼(W)가 척(460) 상에 놓일 때 상기 웨이퍼가 하나 이상의 센서들(450, 451)의 광학 시야 영역 내에 있도록, 척(460)은 하나 이상의 센서들(450, 451)에 대해 슬라이드 바디(420) 상에 위치된다. 또한, 알 수 있는 바와 같이, 하나 이상의 센서들(450, 451)은 웨이퍼가 집어들어지고 엔드 이펙터가 수축될 때의 엣지 감지 및 적어도 하나의 소정의 특성의 감지/측정에 공통된다. 일 예로서, 일 태양에서, 척(460)은 센서(451)로 물리적인 런아웃량/편심량 및 웨이퍼 기준점(FID)의 위치를 감지하기 위해 웨이퍼(W)를 회전시킨다. 웨이퍼 표시(도 4b, IND)가 센서(450)에 의해 판독되고 기준점(FID)이 소정의 방향으로 정렬하도록, 웨이퍼(W)는 상기 런아웃량/편심량에 기반하여 척(460)에 의해 회전된다(도 7a, 725 블록). 또한, 일 태양에서, 웨이퍼(S) 상의 임의의 적절한 표시(IND)는 척(460) 상의 웨이퍼(S)와 함께 하나 이상의 센서들(450, 451)에 의해 판독된다(도 7a, 726 블록). 일 태양에서 정렬된 웨이퍼(W)는 Z 방향으로의 척(460)의 하강을 통해 웨이퍼(W)가 제거된 대응하는 엔드 이펙터(420A, 420B ... 420n)로 다시 전달되고, 반면 다른 태양들에서 정렬된 웨이퍼(W)는 웨이퍼(W)가 제거된 상기 엔드 이펙터와 상이한 엔드 이펙터로 전달된다(예를 들어, 하나의 엔드 이펙터로부터 다른 엔드 이펙터로의 상기 웨이퍼의 교환)(도 7a, 730 블록). 알 수 있는 바와 같이, 상기 초기의 웨이퍼를 릴리브(relieve)시키기 위한 정지 없이 추가 동작(예를 들어, 다른 웨이퍼를 이송하는 및/또는 집어올리는)을 시작할 수 있도록, 상기 교환은 초기의 웨이퍼를 초기의 엔드 이펙터에 인에이블(enable)하거나 또는 초기의 엔드 이펙터로부터 디커플링(decoupling)하는 역할을 한다.
상기 정렬 프로세스(예를 들어, 도 7a의 705 내지 730 블록들 또는 710 내지 730 블록들)는 엔드 이펙터들(420A, 420B ... 420n)에 의해 홀드된 각각의 웨이퍼(W)에 대해 선택적으로 반복되어, 엔드 이펙터들(420A, 420B ... 420n)에 의해 홀드된 하나 보다 많은 웨이퍼들 각각이 공통 엣지 감지 센서(451) 및/또는 공통 OCR 센서(450)에 의해 순차적으로 셔플(shuffle)되고 스캔되도록 각각의 엔드 이펙터들(420A, 420B ... 420n)의 선형 횡단은 순차적으로 순환(cycle)된다. 알 수 있는 바와 같이, 공통 엣지 감지 센서(151)는 공통 엣지 감지 센서(151)가, 예컨대, 장비 전방 단부 모듈(11060)의 프레임(11060F)에 대해 상기 이송 아암과 함께 하나의 단위로서 이동하도록 상기 이송 아암에 장착되며, 공통 엣지 감지 센서(151)에 의한 스캐닝은 상기 순차적인 셔플 동안 적어도 하나의 엔드 이펙터(420A, 420B ... 420n)에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼(W)의 온-더-플라이 엣지 감지를 수행한다. 일 태양에서, 적어도 하나의 엔드 이펙터(420A, 420B ... 420n)는 독립적으로 구동되어, 적어도 하나의 엔드 이펙터(420A, 420B ... 420n)에 의해 동시에 홀드된 하나 보다 많은 웨이퍼들(W)은 웨이퍼들(W) 중 첫번째 웨이퍼를 척(460)에 넣기(engage) 전에 하나 보다 많은 웨이퍼들 각각의 엣지(들)를 감지하기 위해 순차적으로 셔플된다.
상기 정렬된 웨이퍼들(W)은 대응하는 엔드 이펙터(420A, 420B ... 420n)에 의해 임의의 적절한 웨이퍼 홀딩 위치에 위치된다(도 7a, 735 블록). 알 수 있는 바와 같이, 일 태양에서, 위치될 때 전술한 것과 실질적으로 유사한 방식으로 각각의 웨이퍼(S)의 엣지들(WE1, WE2)은 대응하는 엔드 이펙터의 신장 동안 스캔된다. 예를 들어, 그 위에 대응하는 웨이퍼를 가진 대응하는 엔드 이펙터(420A, 420B ... 420n)는 웨이퍼 홀딩 위치에 웨이퍼를 위치시키기 위하여 R 방향으로 신장된다(예를 들어, 선형적으로 횡단된다)(도 7b의 735A 블록). 웨이퍼(S)가 예를 들어 수축된 위치(P1)로부터 부분적으로 신장된 위치(P3)까지 엔드 이펙터(420A, 420B ... 420n) 상에서 신장되므로, 웨이퍼(S)는 하나 이상의 센서들(451, 450)을 통과하고 웨이퍼(S)의 적어도 하나의 엣지(WE1, WE2)(예를 들어, R 방향에 따른 웨이퍼 이동경로에 대한 상대적인 선행 및 또는 후행 엣지들)는, 예컨대, 웨이퍼(S)가 위치된 상기 엔드 이펙터에 대한 웨이퍼(S)의 위치를 확인하기 위하여 감지된다(도 7b, 735B 블록). 감지된 엣지(들)의 위치(들)에 의존하여, 상기 이송 로봇은 소정의 웨이퍼 홀딩 위치에 웨이퍼(S)를 위치시킬 때에 신장 시 센서(450, 451)에 의해 감지된 (상기 엔드 이펙터에 대한) 상기 웨이퍼의 임의의 변위를 고려한다(도 7b, 735C 블록). 알 수 있는 바와 같이, 각각의 웨이퍼(S)가 상기 대응하는 엔드 이펙터에 의해 연장됨에 따라, 웨이퍼 홀딩 스테이션에 배치를 위한 (상기 엔드 이펙터에 대한) 상기 웨이퍼의 위치를 확인하기 위하여 각각의 웨이퍼는 대응하는 적어도 하나의 엣지(WE1, WE2)를 감지하는 하나 이상의 센서들(150, 151)을 통과한다. 또한 알 수 있는 바와 같이, 일 태양에서, 웨이퍼들(W)은 순차적인 배치를 위해 상기 웨이퍼들 중 첫번째 웨이퍼가 상기 웨이퍼 홀딩 스테이션에 놓이기 전에 스캔된다(도 7b)(예를 들어 웨이퍼(W)는 임의의 웨이퍼들이 상기 기판 홀딩 위치에 놓이기 전에 각각의 웨이퍼의 위치를 확인하기 위해 상기 이송 로봇에서 셔플된다).
알 수 있는 바와 같이, 일 태양에서, 임의의 적절한 웨이퍼 슬립 감지는 하나 이상의 엔드 이펙터(420A, 420B ... 420n) 및/또는 척(460)에 이용된다. 상기 웨이퍼 슬립 감지는 예를 들어 하나 이상의 센서(450, 451)를 통해 수행되고 상기 웨이퍼가 엔드 이펙터(420A, 420B ... 420n) 및/또는 상기 척에 대해 정확한 위치에 있는지 여부 및 상기 웨이퍼가 부정확한 센터링 또는 정렬에 쉽게 영향받는지 여부를 결정한다. 알 수 있는 바와 같이, 부정확한 센터링 또는 정렬은 웨이퍼 파손 및/또는 부정확한 포지셔닝을 야기할 수 있다. 웨이퍼 위치가 부정확하다고 결정되면 컨트롤러(11091)는 웨이퍼 위치의 보정을 위한 오퍼레이터에 임의의 적절한 시각적 및/또는 청각적 표시를 수행할 수 있다.
알 수 있는 바와 같이, 여기에 설명된 온-더-플라이 정렬에 대한 이점은 각각의 웨이퍼(W)가, 예컨대, 대기압의 환경 내에서 분류, 정렬 및/또는 식별되는 동안 소비하는 시간을 현저하게 감소시키는 것과 관련된다. 이러한 시간 감소는 여기에 설명된 온-더-플라이 정렬로 달성할 수 있는 보다 높은 처리량 및/또는 주어진 웨이퍼가 웨이퍼 카세트(11050)에 의해 제공되는 보호 용기로부터 떨어지는데 소비하는 시간의 현저한 감소와 관련된다. 이러한 이점은 주어진 FOUP 내의 모든 웨이퍼들의 정렬 및/또는 광학 문자 인식(OCR) 판독을 제공하는 일반적인 분류 레시피에 특히 명백하다. 예를 들어, 도 8a를 참조하면 통상적인 시스템들(870)은 일반적으로 높은 전체 처리 속도(overall throughput rates)를 달성하기 위해 이중의(dual) 고정된 정렬 장치(800A, 800B)에 의존하지만, 상기 아키텍쳐는 보다 많은 웨이퍼 이동 거리, 로보틱 모션 및 미니환경(높은 활동성을 가진 선택적 순응 관절 로봇 아암 타입 로봇에 인접함)에서 각각의 웨이퍼에 의해 소비된 경과 시간을 야기한다. 예를 들어, 도 8a는 상기 웨이퍼들의 분류, 정렬 및/또는 식별을 위해 장비 전방 단부 모듈 내에서 웨이퍼들의 이송을 위한 통상적인 예시적인 이송 경로들을 도시한다. 웨이퍼 이송 경로들(TP1 내지 TP8)은 웨이퍼 카세트들(11050A, 11050B) 중 하나 내의 소정의 데이텀 위치(DL1, DL2)로부터 상기 고정된 정렬 장치들(800A, 800B) 중 하나의 소정의 데이텀 위치(DL3, DL4)까지이고, 이후 로드 락들(11010A, 11010B) 중 하나 내의 소정의 데이텀 위치(DL5, DL6)까지이거나 또는 웨이퍼 카세트들(11050A, 11050B) 중 하나 내의 소정의 데이텀 위치(DL1, DL2)로 돌아간다. 대조적으로, 도 8b는 상기 웨이퍼들의 분류, 정렬 및/또는 식별을 위해 (도 8a에 도시된 것과) 동일한 장비 전방 단부 모듈 내의 웨이퍼들의 이송을 위한 개시된 실시예의 태양들에 일치하는 시스템(869)의 웨이퍼 이송 경로들(TP10 내지 TP13)을 도시한다. 도 8b에 도시된 바와 같이, 이송 경로들(TP10 내지 TP15) 중 어느 하나는 상기 통상적인 이송 경로들(TP1 내지 TP8) 중 어느 하나보다 길이가 짧다. 알 수 있는 바와 같이, 이송 경로들(TP14, TP15)은 웨이퍼들(W)이 분류되고 그들이 집어들어진 상기 카세트 또는 인접한 로드 포트에 있는 인접한 카세트로 돌아가 위치되는 것으로, 이송 경로들(TP14, TP15)은 최단 경로들이고 도 8a에 도시된 카세트들(11050A, 11050B)로부터 정렬 장치들(800A, 800B) 중 하나까지 그리고 이후 카세트들(11050A, 11050B) 중 하나로 돌아가는 대응하는 경로들 보다 현저하게 짧다. 예를 들어, 도 8b의 경로들(TP14, TP15)에 따라 분류할 때 엔드 이펙터들(420A, 420B ... 420n)은 (상기 웨이퍼들이 셔플되도록) 카세트(11050A 또는 11050B)와 같은 일반적인 카세트로부터 순차적으로 웨이퍼들(W)을 집어든다. 각각의 웨이퍼가 순차적으로 집어들어짐에 따라, 카세트(11050A, 11050B)로부터 각각의 웨이퍼의 후퇴 동안 각각의 웨이퍼는 위에 설명된 것과 같이 상기 웨이퍼들의 상기 엣지들을 감지하기 위한 센서들(450, 451)을 통과한다. 상기 웨이퍼들은 각각의 웨이퍼가 순차적으로 정렬되도록 이송 로봇 상에 셔플된다. 상기 정렬된 웨이퍼들은 소정의 분류된 순서로 하나 이상의 카세트들(11050A, 11050B)에 위치된다.
도 9를 참조하면, 웨이퍼(들)이 장비 전방 단부 모듈의 대기압의 환경에서 소비하는 시간은 한 쌍의 고정된 정렬 장치(800A, 800B)를 사용하는 통상적인 시스템(870)보다 시스템(869) 내에서 더 짧다. 예를 들어, Fig. 도 9에 도시된 상기 테이블은 도 8a의 통상적인 이송/정렬 시스템(870)에 관련된 각각 특정한 이송 시간(예컨대, "통상적인 이송 시간들")을 갖는 이송 동작들을 도시한다. 도 9의 상기 테이블은 또한 개시된 실시예의 태양들에 일치하는 도 8b의 이송/정렬 시스템(869)에 관련된 각각 특정한 이송 시간을 갖는 이송 동작들을 도시한다. 상기 테이블에서 알 수 있는 바와 같이, 통상적인 이송/정렬 시스템(870) 및 시스템(869)은 웨이퍼 카세트(11050A, 11050B)로부터 하나 이상의 웨이퍼들을 집어드는 것과 관련된 시간 및 로드 락(11010A, 11010B)에 하나 이상의 웨이퍼들을 위치시키는 것과 관련된 시간을 포함한다. 그러나, 이송 시간의 상기 유사점들은 이것으로 끝난다. 예를 들어, 시스템(869) 내에서 행해지는 것과 같이 카세트(11050A, 11050B)로부터 웨이퍼 홀딩 위치(상기 웨이퍼 홀딩 위치는 웨이퍼가 제거된 로드 포트에 있는 하나 이상의 카세트, 인접한 로드 포트에 있는 다른 카세트 및 로드 락임)로 웨이퍼를 실질적으로 직접적으로 전달하는 것과 다르게, 상기 통상적인 시스템은 상기 웨이퍼(들)을 고정된 정렬 장치들(800A, 800B) 중 하나의 소정의 데이텀 위치(DL3, DL4)로 및 상기 소정의 데이텀 위치(DL3, DL4)로부터 이송하기 위한 추가적인 이송 시간을 포함한다. 또한, 통상적인 시스템(870)은 웨이퍼(W)가 정렬 장치(800A, 800B) 내에 위치되고 정렬되는 아이들(idle) 이송 시간을 포함한다. 상기 웨이퍼(들)이 상기 이송 로봇의 엔드 이펙터(들) 상에 위치되는 동안에, 상기 웨이퍼(들)은 소정의 데이텀 위치(DL1, DL2) 및 (상기 웨이퍼들을 로드 락으로 전달하는) 소정의 데이텀 위치(DL5, DL6) 및 (분류 동안과 같이 상기 웨이퍼들이 카세트(들)로 반송되는) 소정의 데이텀 위치(DL1, DL2) 사이의 이송 동안 정렬되기 때문에 이러한 "정렬 시간"은 시스템(869) 내에서 실질적으로 제거된다. 또한, 시스템(869) 내에서 상기 웨이퍼(들)이 로드 락(11010A, 11010B)의 전방에서와 같이 슬라이드 바디(420)를 정지 상태로 홀딩하는 상기 이송 로봇에 정렬되더라도, 엔드 이펙터(420A, 420B)로부터 척(460)으로 웨이퍼를 전달하는 시간은, 예컨대 Z 방향에 대한 짧은 이동 거리로 인해, 통상적인 엔드 이펙터로부터 고정된 정렬 장치(800A, 800B)로 전달하는 시간보다 현저하게 짧다. 예를 들어, 개시된 실시예의 태양들에 따른 웨이퍼 정렬 및 식별을 수행하기 위해, 척(460)은 완전하게 하강된 데이텀 위치(CD)로부터 Z 방향으로 소량(Z1)(예를 들어, 약 20mm 이하)만큼 이동되고 엔드 이펙터(420A, 420B ... 420n)는 엔드 이펙터와 척 사이에서 웨이퍼를 이송하기 위해 R 방향으로만 부분적으로 연장된다. 대조적으로, 개시된 실시예들의 태양들의 온-더-플라이 정렬이 없는 로봇은, 예를 들어, 상기 장비 전방 단부 모듈의 소정의 웨이퍼 이송 평면(WTP)으로부터 고정된 정렬 장치(800)의 웨이퍼 지지면(WSS)의 레벨로 웨이퍼를 전달하기 위해 보다 많은 Z 이동(도 10의 거리(Z2))를 가할 필요가 있고, 예컨대 X, Y 및 θ 축들 중 하나 이상에 대한 추가 이동을 통해 엔드 이펙터(EE)의 데이텀(CE)을 상기 정렬 장치의 데이텀(CA)에 정렬시키는 동안 엔드 이펙터(EE)를 R 방향으로 완전하게 신장시켜야 한다.
알 수 있는 바와 같이, 개시된 실시예의 태양들에 따른 시스템(869)의 감소된 웨이퍼 이송 시간들은 웨이퍼 카세트의 도어가 개방되는 경과 시간을 감소시킨다. 이러한 감소된 "카세트 도어 개방" 시간은 예를 들어 대기압의 환경으로부터 상기 카세트 내의 오염을 감소시킬 뿐만 아니라 상기 카세트 및 그 내부에 위치된 상기 웨이퍼들 내부에 대한 증가된 불활성 가스 보호를 제공한다. 알 수 있는 바와 같이, 상기 감소된 "카세트 도어 개방" 시간은 상기 카세트 내의 환경 변화 및 오염을 최소화하고 상기 카세트 내의 불활성 가스 복원 시간 (및 복원에 필요한 불활성 가스의 양)도 감소시킨다. 위에서 알 수 있는 바와 같이, 여기에 설명되고 개시된 실시예의 태양들은 보다 높은 웨이퍼 처리량뿐만 아니라 개선된 청결성 및 웨이퍼들의 감소된 환경적 노출을 제공한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 반도체 웨이퍼 이송 장치는 프레임; 상기 프레임에 이동 가능하게 장착되고 적어도 하나의 엔드 이펙터를 갖는 이송 아암; 및 상기 프레임에 대해 상기 이송 아암과 함께 하나의 단위로서 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;를 포함하고, 상기 적어도 하나의 엔드 이펙터는 상기 프레임에 대해 제1 방향으로 상기 아암과 함께 하나의 단위로서 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 적어도 하나의 엔드 이펙터는 제2 방향으로 상기 이송 아암에 대해 선형적으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고, 상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지가 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터의 상기 제2 방향으로의 상기 횡단에 의해 수행되고 그와 일치하도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제2 방향으로의 각각의 엔드 이펙터의 상기 횡단은 엣지 감지를 수행하는 상기 엣지 감지 센서에 대해 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 선형적으로 이송한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지 센서는 상기 웨이퍼의 엣지를 감지하고 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼 위치를 식별하도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 웨이퍼 정렬 장치를 더 포함하고, 상기 정렬 장치는 상기 웨이퍼 장렬 장치 및 상기 이송 아암이 상기 프레임에 대해 하나의 단위로서 움직이도록 상기 이송 아암에 장착되고, 상기 정렬 장치는 각각의 엔드 이펙터와 협력하여 상기 엔드 이펙터 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하도록 배치된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지 센서를 지나는 각각의 엔드 이펙터의 선형적인 횡단을 순환시키고 각각의 대응하는 웨이퍼의 엣지 감지를 수행하도록 구성된 컨트롤러를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 컨트롤러는 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 제1 웨이퍼를 넣기 전에 모든 엔드 이펙터들의 상기 선형적인 횡단을 순차적으로 순환시키도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 각각의 엔드 이펙터는 각각의 엔드 이펙터가 제2 방향으로의 독립적이고 선형적인 횡단을 가지도록 구성된 독립적인 드라이브를 가진다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 적어도 하나의 엔드 이펙터는 제1 엔드 이펙터 및 제2 엔드 이펙터를 포함하고, 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각은 상기 이송 아암에 대해 독립적으로 구동된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지는 온 더 플라이 엣지 감지이다.
개시된 실시예의 하나 이상의 태양들에 따르면, 웨이퍼 처리 장치는 전술한 상기 이송 장치 및 로드 포트를 구비한 인클로저를 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 반도체 웨이퍼 이송 장치는 프레임; 상기 프레임에 이동 가능하게 장착되고 제1 엔드 이펙터 및 제2 엔드 이펙터를 가지는 이송 아암; 및 상기 프레임에 대해 하나의 단위로서 상기 이송 아암과 함께 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 제1 엔드 이펙터 및 제2 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;를 포함하고, 상기 제1 엔드 이펙터 및 제2 엔드 이펙터는 상기 프레임에 대해 제1 방향으로 하나의 단위로서 상기 아암과 함께 횡단하도록 상기 아암에 이동 가능하게 장착되고, 상기 제1 엔드 이펙터 및 제2 엔드 이펙터는 상기 이송 아암에 대해 상기 제1 방향과 다른 제2 방향으로 독립적이고 선형적인 횡단을 위해 독립적으로 구동되도록 상기 아암에 이동 가능하게 장착되고, 상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지가 상기 이송 아암 상의 상기 제1 엔드 이펙터 및 제2 엔드 이펙터의 각각의 엔드 이펙터의 상기 제2 방향으로의 횡단에 의해 수행되고 그와 일치하도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 제2 방향으로의 상기 각각의 엔드 이펙터의 횡단은 엣지 감지를 수행하는 상기 엣지 감지 센서에 대해 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 각각의 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 선형적으로 이송한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지 센서는 상기 웨이퍼의 엣지를 감지하고 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼 위치를 식별하도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 웨이퍼 정렬 장치를 더 포함하고, 상기 정렬 장치는 상기 정렬 장치 및 상기 이송 아암이 상기 프레임에 대해 하나의 단위로서 움직이도록 상기 이송 아암에 장착되고, 상기 정렬 장치는 각각의 엔드 이펙터와 협력하고 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하도록 배치된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지 센서를 지나는 각각의 엔드 이펙터의 선형적인 횡단을 순환시키고 각각의 대응하는 웨이퍼의 엣지 감지를 수행하도록 구성된 컨트롤러를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 컨트롤러는 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 제1 웨이퍼를 넣기 전에 모든 엔드 이펙터들의 상기 선형적인 횡단을 순차적으로 순환시키도록 구성된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 각각의 엔드 이펙터는 각각의 엔드 이펙터가 제2 방향으로의 독립적이고 선형적인 횡단을 수행하도록 구성된 독립적인 드라이브를 가진다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 엣지 감지는 온 더 플라이 엣지 감지이다.
개시된 실시예의 하나 이상의 태양들에 따르면, 웨이퍼 처리 장치는 전술한 이송 장치 및 로드 락을 구비한 인클로저를 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 반도체 웨이퍼 처리 방법은 프레임에 이동 가능하게 장착된 이송 아암을 제공하는 단계; 상기 프레임에 대해 제1 방향으로 하나의 단위로서 상기 아암과 함께 횡단하고 상기 제1 방향과 다른 제2 방향으로 상기 이송 아암에 대해 선형적으로 횡단하도록, 상기 아암에 이동 가능하게 장착된 적어도 하나의 엔드 이펙터를 제공하는 단계; 및 상기 제2 방향으로의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터의 선형적인 횡단을 순차적으로 순환시키는 단계;를 포함하고, 상기 순환시키는 단계는 각각의 엔드 이펙터의 상기 선형적인 횡단의 상기 순차적인 순환 동안 하나 보다 많은 웨이퍼들 각각이 상기 이송 아암에 장착된 공통 엣지 감지 센서에 의해 순차적으로 셔플되고 스캔되도록 한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 공통 엣지 감지 센서는 상기 공통 엣지 감지 센서가 상기 프레임에 대해 하나의 단위로서 상기 이송 아암과 함께 움직이도록 상기 이송 아암에 장착되고, 상기 공통 엣지 감지 센서에 의한 스캐닝은 상기 순차적인 셔플 동안 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들의 각각의 웨이퍼의 온 더 플라이 엣지 감지를 수행한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 방법은 상기 하나 보다 많은 웨이퍼들의 상기 순차적인 셔플의 완료 후에, 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 제1 웨이퍼를 넣는 단계를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 각각의 웨이퍼의 상기 온더 플라이 엣지 감지는 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터들의 각각의 엔드 이펙터의 상기 제2 방향으로의 횡단에 의해 수행되고 그와 일치된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 방법은 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 이송하기 위해 각각의 엔드 이펙터를 상기 엣지 감지 센서에 대해 제2 방향으로 선형적으로 횡단시켜, 상기 순차적인 셔플 동안 엣지 감지를 수행하는 단계와, 상기 대응하는 웨이퍼의 선형적인 이송 동안 상기 엣지 감지 센서로 상기 대응하는 웨이퍼를 스캔하는 단계를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 방법은 상기 엣지 감지 센서로 상기 웨이퍼의 엣지를 감지하는 단계와, 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼의 위치를 식별하는 단계를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 방법은 이송 아암에 장착된 웨이퍼 정렬 장치로 상기 엔드 이펙터 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하는 단계를 더 포함하고, 상기 웨이퍼 정렬 장치 및 상기 이송 아암은 하나의 단위로서 상기 프레임에 대해 움직이고, 상기 정렬 장치는 각각의 엔드 이펙터와 협력하도록 배치된다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 방법은 각각의 엔드 이펙터가 상기 제2 방향으로의 독립적이고 선형적인 횡단을 가지도록, 독립적인 드라이브로 각각의 엔드 이펙터를 독립적으로 구동하는 단계를 더 포함한다.
개시된 실시예의 하나 이상의 태양들에 따르면, 상기 적어도 하나의 엔드 이펙터는 제1 엔드 이펙터 및 제2 엔드 이펙터를 포함하고, 상기 방법은 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각을 상기 이송 아암에 대해 독립적으로 구동하는 단계를 더 포함한다.
이상의 설명은 개시된 실시예의 태양들을 예시하는 것일 뿐임이 이해되어야 한다. 다양한 대안들과 변형들이 통상의 기술자에 의하여 상기 개시된 실시예의 측면들로부터 벗어남이 없이 창안될 수 있다. 따라서, 상기 개시된 실시예의 측면들은 첨부 청구항의 범위 내에 속하는 모든 그러한 대안들, 변형들 및 변경들을 포괄하도록 의도된다. 또한, 상이한 특징들이 서로 상이한 독립항 또는 종속항에 한정되었다는 사실만으로 이러한 특징들의 조합이 유리하게 사용될 수 없음을 나타내는 것은 아니며, 그러한 조합은 본 발명의 측면들의 범위 내에 존재한다.

Claims (28)

  1. 프레임;
    상기 프레임에 이동 가능하게 장착되고 적어도 하나의 엔드 이펙터를 갖는 이송 아암; 및
    상기 이송 아암과 일체로서 상기 프레임에 대해 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;
    를 포함하고,
    상기 적어도 하나의 엔드 이펙터는 상기 이송 아암과 일체로서 상기 프레임에 대해 제1 방향으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고,
    상기 적어도 하나의 엔드 이펙터는 제2 방향으로 상기 이송 아암에 대해 신장 위치와 수축 위치 사이에서 선형적으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고,
    상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지를 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터가 상기 수축 위치로부터 상기 제2 방향으로 횡단하는 동안 수행하도록 구성된 반도체 웨이퍼 이송 장치.
  2. 제 1 항에 있어서,
    상기 제2 방향으로의 각각의 엔드 이펙터의 상기 횡단은 엣지 감지를 수행하는 상기 엣지 감지 센서에 대해 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 선형적으로 이송하는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  3. 제 2 항에 있어서,
    상기 엣지 감지 센서는 상기 웨이퍼의 엣지를 감지하고 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼 위치를 식별하도록 구성된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  4. 제 2 항에 있어서,
    웨이퍼 정렬 장치를 더 포함하고,
    상기 웨이퍼 정렬 장치는 상기 웨이퍼 정렬 장치와 상기 이송 아암이 일체로서 상기 프레임에 대해 움직이도록 상기 이송 아암에 장착되고,
    상기 웨이퍼 정렬 장치는 각각의 엔드 이펙터와 협력하여 상기 엔드 이펙터 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하도록 배치된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  5. 제 2 항에 있어서,
    상기 엣지 감지 센서를 지나는 각각의 엔드 이펙터의 선형적인 횡단을 순차적으로 수행하고 각각의 대응하는 웨이퍼의 엣지 감지를 수행하도록 구성된 컨트롤러를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  6. 제 5 항에 있어서,
    상기 컨트롤러는 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 상기 적어도 하나의 엔드 이펙터에 의해 지지된 웨이퍼들 중 하나인 제1 웨이퍼를 넣기(engage) 전에 모든 엔드 이펙터들의 상기 선형적인 횡단을 순차적으로 수행하도록 구성된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  7. 제 1 항에 있어서,
    각각의 엔드 이펙터는 각각의 엔드 이펙터가 상기 제2 방향으로의 독립적이고 선형적인 횡단을 가지도록 구성된 독립적인 드라이브를 가지는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  8. 제 1 항에 있어서,
    상기 적어도 하나의 엔드 이펙터는 제1 엔드 이펙터 및 제2 엔드 이펙터를 포함하고, 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각은 상기 이송 아암에 대해 독립적으로 구동되는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  9. 제 1 항에 있어서,
    상기 엣지 감지는 각각의 웨이퍼의 이송 동안 각각의 웨이퍼의 엣지를 감지하도록 구성된 온 더 플라이(on the fly) 엣지 감지인 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  10. 청구항 1의 반도체 웨이퍼 이송 장치 및 로드 포트를 구비한 인클로저(enclosure)를 포함하는 웨이퍼 처리 장치.
  11. 프레임;
    상기 프레임에 이동 가능하게 장착되고 제1 엔드 이펙터 및 제2 엔드 이펙터를 가지는 이송 아암; 및
    상기 이송 아암과 일체로서 상기 프레임에 대해 움직이도록 상기 이송 아암에 장착된 엣지 감지 센서로서, 상기 엣지 감지 센서는 상기 제1 엔드 이펙터 및 제2 엔드 이펙터에 의해 동시에 지지된 하나 보다 많은 웨이퍼들의 각각의 웨이퍼에 대한 엣지 감지를 수행하는 공통 센서인 상기 엣지 감지 센서;
    를 포함하고,
    상기 제1 엔드 이펙터 및 제2 엔드 이펙터는 상기 이송 아암과 일체로서 상기 프레임에 대해 제1 방향으로 횡단하도록 상기 이송 아암에 이동 가능하게 장착되고,
    상기 제1 엔드 이펙터 및 제2 엔드 이펙터는 신장 위치와 수축 위치 사이에서 상기 제1 방향과 다른 제2 방향으로 상기 이송 아암에 대해 독립적이고 선형적인 횡단을 위해 독립적으로 구동되도록 상기 이송 아암에 이동 가능하게 장착되고,
    상기 엣지 감지 센서는 각각의 웨이퍼에 대한 상기 엣지 감지를 상기 이송 아암 상의 상기 제1 엔드 이펙터 및 제2 엔드 이펙터의 각각의 엔드 이펙터가 상기 수축 위치로부터 상기 제2 방향으로 횡단하는 동안 수행하도록 구성된 반도체 웨이퍼 이송 장치.
  12. 제 11 항에 있어서,
    상기 제2 방향으로의 상기 각각의 엔드 이펙터의 횡단은 엣지 감지를 수행하는 상기 엣지 감지 센서에 대해 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 각각의 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 선형적으로 이송하는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  13. 제 12 항에 있어서,
    상기 엣지 감지 센서는 상기 웨이퍼의 엣지를 감지하고 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼 위치를 식별하도록 구성된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  14. 제 12 항에 있어서,
    웨이퍼 정렬 장치를 더 포함하고,
    상기 웨이퍼 정렬 장치는 상기 웨이퍼 정렬 장치와 상기 이송 아암이 일체로서 상기 프레임에 대해 움직이도록 상기 이송 아암에 장착되고,
    상기 웨이퍼 정렬 장치는 각각의 엔드 이펙터와 협력하고 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하도록 배치된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  15. 제 12 항에 있어서,
    상기 엣지 감지 센서를 지나는 각각의 엔드 이펙터의 선형적인 횡단을 순차적으로 수행하고 각각의 대응하는 웨이퍼의 엣지 감지를 수행하도록 구성된 컨트롤러를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  16. 제 15 항에 있어서,
    상기 컨트롤러는 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 상기 적어도 하나의 엔드 이펙터에 의해 지지된 웨이퍼들 중 하나인 제1 웨이퍼를 넣기 전에 모든 엔드 이펙터들의 상기 선형적인 횡단을 순차적으로 수행하도록 구성된 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  17. 제 11 항에 있어서,
    각각의 엔드 이펙터는 각각의 엔드 이펙터가 상기 제2 방향으로의 독립적이고 선형적인 횡단을 수행하도록 구성된 독립적인 드라이브를 가지는 것을 특징으로 하는 반도체 웨이퍼 이송 장치.
  18. 청구항 11의 반도체 웨이퍼의 이송 장치 및 로드 포트를 구비한 인클로저(enclosure)를 포함하는 웨이퍼 처리 장치.
  19. 제 18 항에 있어서,
    상기 엣지 감지는 각각의 웨이퍼의 이송 동안 각각의 웨이퍼의 엣지를 감지하도록 구성된 온 더 플라이 엣지 감지인 것을 특징으로 하는 웨이퍼 처리 장치.
  20. 프레임에 이동 가능하게 장착된 이송 아암을 제공하는 단계;
    상기 이송 아암과 일체로서 상기 프레임에 대해 제1 방향으로 횡단하고 상기 제1 방향과 다른 제2 방향으로 상기 이송 아암에 대해 선형적으로 횡단하도록, 상기 이송 아암에 이동 가능하게 장착된 적어도 하나의 엔드 이펙터를 제공하는 단계; 및
    신장 위치와 수축 위치 사이에서 상기 제2 방향으로 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터의 선형적인 횡단을 순차적으로 수행하는 단계;
    를 포함하고,
    각각의 엔드 이펙터의 상기 수축 위치로부터 상기 신장 위치를 향한 상기 선형적인 횡단이 순차적으로 수행되는 동안 하나 보다 많은 웨이퍼들 각각이 상기 이송 아암에 장착된 공통 엣지 감지 센서에 의해 순차적으로 스캔되고 정렬되도록 하는 반도체 웨이퍼 처리 방법.
  21. 제 20 항에 있어서,
    상기 공통 엣지 감지 센서는 상기 공통 엣지 감지 센서가 상기 이송 아암과 일체로서 상기 프레임에 대해 움직이도록 상기 이송 아암에 장착되고,
    상기 공통 엣지 감지 센서에 의한 스캐닝은, 상기 웨이퍼들의 순차적인 정렬 동안, 상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들의 이송 동안 각각의 웨이퍼의 엣지를 감지하도록 구성된 온 더 플라이 엣지 감지를 수행하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  22. 제 20 항에 있어서,
    상기 하나 보다 많은 웨이퍼들의 상기 웨이퍼들의 순차적인 정렬의 완료 후에, 상기 이송 아암에 장착된 웨이퍼 정렬 장치에 상기 적어도 하나의 엔드 이펙터에 의해 지지된 웨이퍼들 중 하나인 제1 웨이퍼를 넣는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  23. 제 21 항에 있어서,
    각각의 웨이퍼에 대한 상기 온 더 플라이 엣지 감지는 상기 이송 아암 상의 상기 적어도 하나의 엔드 이펙터의 각각의 엔드 이펙터가 상기 제2 방향으로 횡단하는 동안 수행되는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  24. 제 20 항에 있어서,
    상기 적어도 하나의 엔드 이펙터에 의해 동시에 지지된 상기 하나 보다 많은 웨이퍼들 중 상기 엔드 이펙터 상에 안착된 대응하는 웨이퍼를 이송하기 위해 각각의 엔드 이펙터를 상기 엣지 감지 센서에 대해 제2 방향으로 선형적으로 횡단시켜, 상기 웨이퍼들의 순차적인 정렬 동안 엣지 감지를 수행하는 단계와,
    상기 대응하는 웨이퍼의 선형적인 이송 동안 상기 엣지 감지 센서로 상기 대응하는 웨이퍼를 스캔하는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  25. 제 24 항에 있어서,
    상기 엣지 감지 센서로 상기 웨이퍼의 엣지를 감지하는 단계와, 그로부터 소정의 기준 프레임에 대한 오정렬 및 웨이퍼의 위치를 식별하는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  26. 제 24 항에 있어서,
    상기 이송 아암에 장착된 웨이퍼 정렬 장치로 상기 엔드 이펙터 상에 안착된 상기 대응하는 웨이퍼의 웨이퍼 정렬을 수행하는 단계를 더 포함하고,
    상기 웨이퍼 정렬 장치와 상기 이송 아암은 일체로서 상기 프레임에 대해 움직이고, 상기 웨이퍼 정렬 장치는 각각의 엔드 이펙터와 협력하도록 배치된 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  27. 제 20 항에 있어서,
    각각의 엔드 이펙터가 상기 제2 방향으로의 독립적이고 선형적인 횡단을 가지도록, 독립적인 드라이브로 각각의 엔드 이펙터를 독립적으로 구동하는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  28. 제 20 항에 있어서,
    상기 적어도 하나의 엔드 이펙터는 제1 엔드 이펙터 및 제2 엔드 이펙터를 포함하고,
    상기 방법은 상기 제1 엔드 이펙터 및 상기 제2 엔드 이펙터 각각을 상기 이송 아암에 대해 독립적으로 구동하는 단계를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
KR1020177015254A 2014-11-04 2015-11-02 웨이퍼 정렬 장치 KR102470589B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462075014P 2014-11-04 2014-11-04
US62/075,014 2014-11-04
US14/928,352 2015-10-30
US14/928,352 US10755960B2 (en) 2014-11-04 2015-10-30 Wafer aligner
PCT/US2015/058556 WO2016073330A1 (en) 2014-11-04 2015-11-02 Wafer aligner

Publications (2)

Publication Number Publication Date
KR20170081227A KR20170081227A (ko) 2017-07-11
KR102470589B1 true KR102470589B1 (ko) 2022-11-24

Family

ID=55853478

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177015254A KR102470589B1 (ko) 2014-11-04 2015-11-02 웨이퍼 정렬 장치

Country Status (6)

Country Link
US (2) US10755960B2 (ko)
JP (2) JP6779892B2 (ko)
KR (1) KR102470589B1 (ko)
CN (1) CN107112264B (ko)
TW (1) TWI709186B (ko)
WO (1) WO2016073330A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825705B2 (en) 2015-05-15 2020-11-03 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US9961782B2 (en) * 2016-07-08 2018-05-01 Kateeva, Inc. Transport path correction techniques and related systems, methods and devices
CN109863459A (zh) 2016-09-08 2019-06-07 法孚里内机床有限公司 加工站、工件保持系统和工件加工方法
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
DE102016118462A1 (de) 2016-09-29 2018-03-29 Asys Automatic Systems Gmbh & Co. Kg Handhabungsvorrichtung für Substrate, insbesondere Halbleitersubstrate
JP6633782B1 (ja) * 2017-01-31 2020-01-22 イラミーナ インコーポレーテッド ウェハーの整列方法及び整列システム
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
CN111146102A (zh) * 2018-11-02 2020-05-12 睿励科学仪器(上海)有限公司 用于测量晶片的设备和方法
US10796940B2 (en) * 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
JP7175735B2 (ja) * 2018-12-11 2022-11-21 平田機工株式会社 基板搬送装置
SG11202108483YA (en) * 2019-02-08 2021-09-29 Lam Res Corp Substrate location detection and adjustment
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11295975B2 (en) * 2019-09-13 2022-04-05 Brooks Automation Us, Llc Method and apparatus for substrate alignment
CN112216636A (zh) * 2020-08-27 2021-01-12 西安奕斯伟硅片技术有限公司 一种晶圆外延反应设备
US11854853B2 (en) * 2021-03-12 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning method and apparatus
US20220399219A1 (en) * 2021-06-11 2022-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment apparatus and method for multi-cassette load port

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058625A (ja) * 1998-06-02 2000-02-25 Mecs Corp 基板搬送装置
JP2002217268A (ja) 2001-01-19 2002-08-02 Yaskawa Electric Corp 基板搬送方法および基板搬送装置
JP2009021504A (ja) 2007-07-13 2009-01-29 Ryusyo Industrial Co Ltd ウエハ搬送ロボット
JP2014022589A (ja) * 2012-07-19 2014-02-03 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
FR2778496B1 (fr) 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
JP2002517088A (ja) 1998-05-27 2002-06-11 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 半導体ウエハハンドリング用バッチ式エンドエフェクタ
US6195619B1 (en) * 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
US6615113B2 (en) 2001-07-13 2003-09-02 Tru-Si Technologies, Inc. Articles holders with sensors detecting a type of article held by the holder
US6927505B2 (en) 2001-12-19 2005-08-09 Nikon Corporation Following stage planar motor
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
WO2010111781A1 (en) 2009-03-30 2010-10-07 Ats Automation Tooling Systems Inc. Systems and methods for handling wafers
JP2013197454A (ja) * 2012-03-22 2013-09-30 Sumitomo Heavy Ind Ltd 搬送制御装置、搬送システム、基準テーブル作成方法、及び把持位置較正方法
JP6063776B2 (ja) * 2013-03-04 2017-01-18 東京エレクトロン株式会社 基板搬送経路の決定方法、基板搬送装置、基板処理装置及びプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058625A (ja) * 1998-06-02 2000-02-25 Mecs Corp 基板搬送装置
JP2002217268A (ja) 2001-01-19 2002-08-02 Yaskawa Electric Corp 基板搬送方法および基板搬送装置
JP2009021504A (ja) 2007-07-13 2009-01-29 Ryusyo Industrial Co Ltd ウエハ搬送ロボット
JP2014022589A (ja) * 2012-07-19 2014-02-03 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法

Also Published As

Publication number Publication date
TW201630100A (zh) 2016-08-16
JP2017535974A (ja) 2017-11-30
KR20170081227A (ko) 2017-07-11
CN107112264B (zh) 2021-06-04
WO2016073330A1 (en) 2016-05-12
US10755960B2 (en) 2020-08-25
CN107112264A (zh) 2017-08-29
US20200388523A1 (en) 2020-12-10
TWI709186B (zh) 2020-11-01
JP2020188288A (ja) 2020-11-19
JP6779892B2 (ja) 2020-11-04
US20160126128A1 (en) 2016-05-05
JP7263642B2 (ja) 2023-04-25

Similar Documents

Publication Publication Date Title
KR102470589B1 (ko) 웨이퍼 정렬 장치
JP6997144B2 (ja) オンザフライ基板センタリングを含む処理装置
US10777438B2 (en) Processing apparatus
TWI725303B (zh) 基材處理設備
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
KR102359364B1 (ko) 기판 프로세싱 장치
JP2010512026A (ja) 高生産性ウエハノッチアライメント装置
KR101539568B1 (ko) 복수의 웨이퍼 핸들링 능력이 있는 이송 기구
US20180068881A1 (en) Substrate processing apparatus
US20240178032A1 (en) Substrate transport apparatus
CN111432986B (zh) 具有单独附件馈通的衬底运输装置
US20230271792A1 (en) Substrate processing apparatus
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant