JP2023154038A - 独立型の付属フィードスルーを備えた基板搬送装置 - Google Patents

独立型の付属フィードスルーを備えた基板搬送装置 Download PDF

Info

Publication number
JP2023154038A
JP2023154038A JP2023131390A JP2023131390A JP2023154038A JP 2023154038 A JP2023154038 A JP 2023154038A JP 2023131390 A JP2023131390 A JP 2023131390A JP 2023131390 A JP2023131390 A JP 2023131390A JP 2023154038 A JP2023154038 A JP 2023154038A
Authority
JP
Japan
Prior art keywords
drive
accessory
substrate
motor
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023131390A
Other languages
English (en)
Inventor
イー プレイステッド、シーン
E Plaisted Sean
エフ シャーロック、レイ
F Sharrock Leigh
エイトケン、クリス
Aitken Chris
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brooks Automation US LLC
Original Assignee
Brooks Automation US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation US LLC filed Critical Brooks Automation US LLC
Publication of JP2023154038A publication Critical patent/JP2023154038A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0052Gripping heads and other end effectors multiple gripper units or multiple end effectors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J17/00Joints
    • B25J17/02Wrist joints
    • B25J17/0283Three-dimensional joints
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/06Programme-controlled manipulators characterised by multi-articulated arms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1615Programme controls characterised by special kind of manipulator, e.g. planar, scara, gantry, cantilever, space, closed chain, passive/active joints and tendon driven manipulators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Orthopedic Medicine & Surgery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Threshing Machine Elements (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)

Abstract

【課題】基板搬送装置が動作する制御された環境と制御されていない環境との間に延在する真空および/または電気フィードスルーを利用することなく、基板搬送装置で動力を生成するかまたは基板処理の信頼性および/またはスループット速度を高める電源付属部を備えた基板搬送装置を提供する。【解決手段】基板搬送装置100は、エンドエフェクタ113を有している基板搬送アーム110と、基板搬送アームに連結された少なくとも1つのモータ125M1-125M4を有している駆動セクションと、を含む。駆動セクションでは、少なくとも1つのモータ125M1-125M3が、駆動セクションの運動学的部分125KPを画定し、駆動セクションは、付属部分125APを含み、付属部分が、別のモータ125M4を有し、別のモータが、基板搬送アームの動作とは無関係に、付属デバイス130に動作可能に連結され、1つまたは複数の付属デバイスを駆動する。【選択図】図3

Description

[関連出願への相互参照]
本特許出願は、2017年10月5日に出願された米国仮特許出願第62/568,541号の優先権および利益を主張するものであり、本開示はその全体が参照により本明細書に組み込まれる。
[技術分野]
例示的な実施形態は、概して、基板処理装置に関し、より具体的には、基板搬送装置に関する。
半導体集積回路のための従来の製造技術は、完全に自動化された真空ツールにおいて、しばしば基板と呼ばれる、シリコンウエハの処理を含み得る。典型的なツールは、それぞれの移送チャンバの周囲に接続されたロードロックおよび処理モジュール(両方とも概してステーションと呼ばれる)を備えたクラスタ真空チャンバまたは線形真空チャンバ(両方とも概して移送チャンバと呼ばれる)を含み得る。当該ツールは、典型的に、移送チャンバ内に配置され、たとえばロードロックと処理モジュールとの間で基板を搬送する真空環境の基板搬送装置によってサービスを提供される。当該ツールはまた、移送チャンバに連結された大気セクションを含み得る。大気セクションは、カセット(ステーションとも呼ばれる)とロードロックとの間で基板を搬送する大気の基板搬送装置を含み得る。
典型的な自動化された基板ハンドリングプロセスでは、1つのステーションから別のステーションへの移送のために、基板搬送装置によって基板が最初に取り出される。移送中に、基板は、基板搬送装置の基板ホルダに対する変位/滑りを受ける。アクティブ/パッシブなグリップエンドエフェクタが、概して、滑りを軽減/実質的に排除するために利用される。基板位置合わせ装置も、基板をステーションに配置する前に基板搬送装置によって保持された基板の滑り/偏心の量を判定するために利用される。典型的に、アクティブなグリップエンドエフェクタおよびアライナは、動力源および動作制御源を利用し、これは、基板搬送装置のアームリンクを介してエンドエフェクタに供給された真空ケーブルおよび/または電気ケーブルによって提供される。基板搬送装置の関節によるケーブルの屈曲は、汚染粒子をもたらしかねず、絶縁によって真空で不要なガスが放出される可能性があり、ケーブルの通路は、基板搬送装置が配置されている移送チャンバ内の制御された雰囲気の隔離を維持するために適切に密閉されなければならない。
ケーブル路の長さおよびそれに関連する問題を最小限に抑えるために、一部の従来の搬送装置は、関節ジョイントでスリップリングを利用する場合があり、順にスリップリングの接触面に沿って粒子汚染も生成される。さらに、スリップリングは高価であり、それに比例して基板搬送装置のコストが増加する。また、隔離可能な移送チャンバに配置された従来の搬送装置のエンドエフェクタに動力および制御を提供するケーブルおよび/または導管は、従来の搬送装置を移送チャンバの他の固定された動力供給または制御源に結びつける物理的な結びつきを形成する。
真空および/または電気フィードスルーを動力源とするアクティブなグリップおよびアライナを基板処理システムに追加することによって、基板処理システムのスループットを向上させる助けとなり得るが、これらの真空および/または電気フィードスルーは、基板処理システムに対するコスト、複雑さ、および粒子の生成を増加させることもある。
基板搬送装置が動作する制御された環境と制御されていない環境との間に延在する真空および/または電気フィードスルーを利用することなく、基板搬送装置で動力を生成するか、または基板処理の信頼性および/またはスループット速度を高める電源付属部を備えた基板搬送装置に提供することは有利となるであろう。
開示される実施形態の前述の態様および他の特徴は、添付の図面に関連して得られた以下の記載で説明される。
開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様を組み込んだ基板処理装置構成の概略図である。 開示される実施形態の態様による基板搬送アームの概略図である。 開示される実施形態の態様による基板搬送アームの概略図である。 開示される実施形態の態様による基板搬送アームの概略図である。 開示される実施形態の態様による基板搬送アームの概略図である。 開示される実施形態の態様による基板搬送アームの概略図である。 開示される実施形態の態様による基板搬送装置の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の一部の概略図である。 開示される実施形態の1つまたは複数の態様による基板搬送装置の動作の方法のフローチャートである。 開示される実施形態の1つまたは複数の態様による基板搬送装置の動作の方法のフローチャートである。
図1A~図1Dを参照すると、本明細書でさらに説明されるように、開示される実施形態の態様を組み込んだ基板処理装置またはツールの概略図が示されている。開示される実施形態の態様は、図面を参照して説明されるが、開示される実施形態の態様は、多くの形態で具体化され得ることを理解されるべきである。さらに、任意の適切なサイズ、形状、またはタイプの要素または材料が使用され得る。
以下でより詳細に説明するように、開示される実施形態の態様は、基板搬送装置を提供し、基板搬送装置は、基板搬送装置100に取り付けられた付属部の制御のための独立型のフィードスルー駆動部を含む。開示される実施形態の態様では、基板搬送装置100が配置されている移送チャンバ(真空移送チャンバなど)の隔離された/閉鎖された内部環境と外部環境との間の隔離またはシールバリアを貫通するフィードスルーなしで、基板搬送装置100に取り付けられた/連結された1つまたは複数の付属部に、電力および/または原動力が機械的に提供される。たとえば、基板搬送装置100の駆動セクション125は、後述するように、運動学的部分125KPおよび付属部分125APを含む。付属部分125APは、隔離された内部環境内から電力および/または原動力を提供する。
図1Aおよび図1Bを参照すると、たとえば、半導体ツールステーションなどの基板処理装置11090が、開示される実施形態の態様に従って示されている。半導体ツールステーションが図面に示されているが、本明細書で説明される開示される実施形態の態様は、ロボットマニピュレータを利用する任意のツールステーションまたはアプーリケーションに適用することができる。本例では、半導体ツールステーション11090はクラスタツールとして示されているが、開示される実施形態の態様は、たとえば、図1Cおよび図1Dに示される、およびその開示全体が参照により本明細書に組み込まれる、2013年3月19日に発行され、「Linearly Distributed Semiconductor Workpiece Processing Tool」と題された米国特許第8,398,355号明細書に記載されているものなどの、線形ツールステーションなどの任意の適切なツールステーションに適用されてもよい。
半導体ツールステーション11090は、概して、大気フロントエンド11000、真空ロードロック11010、および真空バックエンド11020を含む。他の態様では、半導体ツールステーションは、任意の適切な構成を有し得る。大気フロントエンド11000、真空ロードロック11010、および真空バックエンド11020の各々のコンポーネントは、たとえば、クラスタ化したアーキテクチャ制御部などの任意の適切な制御アーキテクチャの一部であり得るコントローラ11091に接続されてもよい。制御システムは、その開示全体が参照により本明細書に組み込まれる、2011年3月8日に発行され、「Scalable Motion Control System」と題された米国特許第7,904,182号明細書に開示されているものなどの、マスタコントローラ、クラスタコントローラ、および自律リモートコントローラを有している閉ループコントローラであってもよい。他の態様では、任意の適切なコントローラおよび/または制御システムが利用されてもよい。コントローラ11091は、本明細書に記載されるように、基板S(図1Cを参照)のハンドリングをもたらすように半導体ツールステーション11090を操作するための非一時的なプログラムコードを含む任意の適切なメモリおよび(1つまたは複数の)プロセッサを含む。コントローラ11091は、基板S(図1C)の取り出しおよび配置をもたらすために、エンドエフェクタおよび/または基板保持ステーションに対する基板の位置を判定するように構成されている。一態様では、コントローラ11091は、基板搬送装置/ロボットのエンドエフェクタおよび/または搬送アームの1つまたは複数の特徴部に対応する検出信号を受信するように、ならびに基板の取り出しおよび配置をもたらすために、エンドエフェクタおよび/または基板保持ステーションに対する基板の位置を判定する、および/または1つまたは複数のエンドエフェクタタイン(end effector tine)の位置を判定するように構成されている。
一態様では、大気フロントエンド11000は、概して、ロードポートモジュール11005、およびたとえば機器フロントエンドモジュール(EFEM)などのミニエンバイロメント11060を含む。ロードポートモジュール11005は、カセット、前面開口または底面開口のボックス/ポッド、および300mmのロードポートのためのSEMI規格E15.1、E47.1、E62、E19.5またはE1.9に準拠するボックスオープナー/ローダーツール標準(BOLTS)インターフェースであってもよい。他の態様では、ロードポートモジュール11005は、200mm基板または450mm基板のインターフェース、またはたとえば、より大きいもしくはより小さい基板もしくはフラットパネルディスプレイ用のフラットパネルなどの、任意の他の適切な基板インターフェースとして構成されてもよい。図1Aには2つのロードポートモジュール11005が示されているが、他の態様では、任意の適切な数のロードポートモジュール11005が、大気フロントエンド11000に組み込まれてもよい。ロードポートモジュール11005は、オーバーヘッド搬送システム、無人搬送車、有人搬送車、有軌道式無人搬送車から、または任意の他の適切な搬送方法から、基板キャリアまたはカセット11050を受承するように構成されてもよい。ロードポートモジュール11005は、ロードポート11040を介してミニエンバイロメント11060とインターフェース接続し得る。一態様では、ロードポート11040によって、基板カセット11050とミニエンバイロンメント11060との間の基板の通行が可能になる。
一態様では、ミニエンバイロメント11060は、概して、本明細書に記載された開示される実施形態の1つまたは複数の態様を組み込む任意の適切な基板搬送装置11013を含む。一態様では、基板搬送装置11013は、たとえば、その開示全体が参照により本明細書に組み込まれる、米国特許第6,002,840号明細書に記載されているものなどの、トラック搭載ロボットであってもよく、または他の態様では、任意の他の適切な基板搬送装置11013は任意の適切な構成を有する。ミニエンバイロメント11060は、複数のロードポートモジュールと真空バックエンド11020との間の基板移送のための制御された清浄な区域を提供し得る。
真空ロードロック11010は、ミニエンバイロンメント11060と真空バックエンド11020との間に配置されてよく、それらに接続されてもよい。なお、本明細書で使用されるような真空という用語は、基板が処理される10-5トール以下などの高真空を示し得る。真空ロードロック11010は、概して、大気および真空スロットバルブを含む。スロットバルブは、基板を大気フロントエンド11000から搭載した後にロードロックを排気するために、および窒素などの不活性ガスを用いて真空ロードロックを通気するときに搬送チャンバ内を真空に維持するために利用される環境隔離を提供し得る。一態様では、ロードロック11010は、基板の基準を処理のための所望の位置に位置合わせするためのアライナ11011を含む。他の態様では、真空ロードロック11010は、基板処理装置11090の任意の適切な場所に配置されてよく、任意の適切な構成および/または計測機器を有してもよい。
真空バックエンド11020は、概して、搬送チャンバ11025、1つまたは複数の処理ステーションまたはモジュール11030、および任意の適切な搬送ロボットまたは装置11014を含む。基板搬送装置11014は、後述され、真空ロードロック11010と様々な処理ステーション11030との間で基板を搬送するために搬送チャンバ11025内に配置されてもよい。処理ステーション11030は、さまざまな堆積、エッチング、または他のタイプの処理を介して基板に作用し、基板上に電気回路または他の所望の構造を形成し得る。典型的なプロセスは、限定されないが、プラズマエッチングまたは他のエッチングプロセス、化学蒸着(CVD)、プラズマ蒸着(PVD)などの真空を使用する薄膜プロセス、イオン注入などの注入、計測、高速熱処理(RTP)、ドライストリップ原子層堆積(ALD)、酸化/拡散、窒化物の形成、真空リソグラフィー、エピタキシー(EPI)、ワイヤボンダおよび蒸発、または真空圧を使用する他の薄膜プロセスを含む。処理ステーション11030は搬送チャンバ11025に接続され、それによって、基板を搬送チャンバ11025から処理ステーション11030に、および処理ステーション11030から搬送チャンバ11025に通すことが可能になる。一態様では、ロードポートモジュール11005およびロードポート11040は、真空バックエンド11020に実質的に直接連結され、その結果、ロードポートに取り付けられたカセット11050は、移送チャンバ11025の真空環境および/または処理ステーション11030の処理真空(たとえば、処理真空および/または真空環境は、処理ステーション11030とカセット11050との間で延び、それらの間で共通している)と実質的に直接インターフェース接続する(たとえば、一態様では、少なくともミニエンバイロメント11060は省略されるが、他の態様では、真空ロードロック11010も省略され、その結果、カセット11050は、真空ロードロック11010と同様の方法で真空まで減圧される(pumped down))。
ここで図1Cを参照すると、線形基板処理システム2010の概略平面図が示され、ここでツールインターフェースセクション2012が搬送チャンバモジュール3018に取り付けられており、それによって、ツールインターフェースセクション2012は、概して搬送チャンバモジュール3018の長手方向軸LXAの方向に(たとえば、内側に)面しているが、長手方向軸LXAからオフセットされる。搬送チャンバモジュール3018は、前に参照によって本明細書に組み込まれている、米国特許第8,398,355号明細書に記載されるように、他の搬送チャンバモジュール3018A、3018I、3018Jをインターフェース2050、2060、2070に取り付けることによって、任意の適切な方向に伸長されてもよい。各搬送チャンバモジュール3018、3018A、3018I、3018Jは、基板Sを線形基板処理システム2010全体に通して、たとえば、処理モジュールPM(これは、一態様では、上記の処理ステーション11030と実質的に同様である)へとおよび処理モジュールPMから搬送するための、本明細書に記載された開示される実施形態の1つまたは複数の態様を含み得る任意の適切な基板搬送部2080を含む。理解され得るように、各搬送チャンバモジュール3018、3018A、3018I、3018Jは、隔離されたまたは制御された雰囲気(たとえば、N2、クリーンな空気、真空)を保持することができる。
図1Dを参照すると、線形搬送チャンバ416の長手方向軸LXBに沿って得られるものなどの例示的な処理ツール410の概略立面図が示されている。図1Dに示す開示される実施形態の態様では、ツールインターフェースセクション12は線形搬送チャンバ416に典型的に接続され得る。本態様では、ツールインターフェースセクション12は線形搬送チャンバ416の一端を画定し得る。図1Dに見られるように、線形搬送チャンバ416は、たとえばツールインターフェースステーション12と対向する端部で、別の基板の入口/出口ステーション412を有し得る。他の態様では、線形搬送チャンバから基板を挿入/除去するための他の入口/出口ステーションが設けられてもよい。一態様では、ツールインターフェースセクション12および入口/出口ステーション412は、処理ツール410からの基板の出し入れを可能にし得る。他の態様では、基板は、一端から処理ツール410内に搭載され、他端から取り出されてもよい。一態様では、線形搬送チャンバ416は、1つまたは複数の移送チャンバモジュール18B、18iを有し得る。各移送チャンバモジュール18B、18iは、隔離されたまたは制御された雰囲気(たとえば、N2、クリーンな空気、真空)を保持することができる。前に述べたように、図1Dに示す搬送チャンバモジュール18B、18i、ロードロックモジュール56A、56、および線形搬送チャンバ416を形成する基板ステーションの構成/配置は、単に例示的なものであり、他の態様では、搬送チャンバは、任意の所望のモジュール配置で配置されたより多くのまたはより少ないモジュールを有してもよい。図示の態様では、基板の入口/出口ステーション412はロードロックであってもよい。他の態様では、ロードロックモジュールは、端部の入口/出口ステーション(ステーション412と同様)間に配置され得るか、または隣接する搬送チャンバモジュール(モジュール18iと同様)は、ロードロックとして動作するように構成されてもよい。
また、前述したように、搬送チャンバモジュール18B、18iは、その中に配置された、本明細書に記載された開示される実施形態の1つまたは複数の態様を含み得る、1つまたは複数の対応する基板搬送装置26B、26iを有している。それぞれの搬送チャンバモジュール18B、18iの基板搬送装置26B、26iは、協働して、線形搬送チャンバ416内に直線状に分配された基板搬送システムを提供し得る。本態様では、(図1Aおよび図1Bに例示されているクラスタツールの基板搬送装置11013、11014と実質的に同様であり得る)基板搬送装置26B、26iは、一般的なSCARAアーム構成を有し得る(しかし他の態様では、基板搬送装置は、たとえば、図2Bに示されるような線形スライドアーム214などの任意の他の所望の構成、または任意の適切なアームリンク機構を有している他の適切なアームを有しても良い)。アームリンク機構の好適な例は、たとえば、その開示全体が参照によって本明細書に組み込まれる、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2013年4月16日に発行された米国特許第8,419,341号明細書、2011年11月10日に出願され、「Dual Arm Robot」と題された米国特許出願第13/293,717号明細書、および2013年9月5日に出願され、「Linear Vacuum Robot with Z Motion and Articulated Arm」と題された米国特許出願第13/861,693号明細書で見ることができる。
開示される実施形態の態様では、少なくとも1つの基板搬送装置は、アッパーアーム、フォアアームおよびエンドエフェクタを含む、SCARA(水平多関節ロボットアーム)タイプの設計として知られている一般的な構成を有し得るか、または伸縮アームもしくは任意の他の適切なアーム設計からのものであり得る。一態様では、アームは、以下でさらに説明するように、バンド駆動構成、連続ループ構成、または任意の他の適切な構成を有し得る。移送アームの適切な例は、たとえば、その開示全体が参照によって本明細書に組み込まれる、2008年5月8日に出願され、「Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism」と題された米国特許出願第12/117,415号明細書、および2010年1月19日に発行された米国特許第7,648,327号明細書で見ることができる。移送アームの動作は、互いに独立し得る(たとえば、各アームの伸長/収縮は他のアームとは独立している)か、ロストモーションスイッチを介して動作され得るか、またはアームが少なくとも1つの共通の駆動軸を共有するように任意の適切な方法で動作可能にリンクされ得る。(1つまたは複数の)SCARAアームは、1つのリンク、2つのリンク、または任意の適切な数のリンクを有してもよく、ショルダプーリとエルボプーリが2:1の配置およびエルボプーリとリストプーリが1:2の配置などの、任意の適切な駆動プーリ配置を有してもよい。さらに他の態様では、基板搬送装置は、フロッグレッグアーム216(図2A)構成、リープフロッグアーム217(図2D)構成、左右対称型アーム218(図2C)構成、または任意の他の適切な構成などの、任意の他の望ましい配置を有してもよい。
別の態様では、図2Eを参照すると、移送アーム219は、少なくとも第1および第2の関節アーム219A、219Bを含み、各アーム219A、219Bは、共通の移送面に少なくとも2つの基板S1、S2を並べて保持するように構成されたエンドエフェクタ219Eを含み(エンドエフェクタ219Eの各基板保持位置は、基板S1、S2を取り出して配置するための共通の駆動部を共有する)、ここで基板S1、S2間の間隔DXは、並んだ基板保持位置間の固定間隔に対応する。基板搬送装置の適切な例は、その開示全体が参照によって本明細書に組み込まれる、2001年5月15日に発行された米国特許第6,231,297号明細書、1993年1月19日に発行された米国特許第5,180,276号明細書、2002年10月15日に発行された米国特許第6,464,448号明細書、2001年5月1日に発行された米国特許第第6,224,319号明細書、1995年9月5日に発行された米国特許第5,447,409号明細書、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、および2011年11月10日に出願され、「Dual Arm Robot」と題された米国特許出願第13/293,717号明細書、および2011年10月11日に出願され、「Coaxial Drive Vacuum Robot」と題された米国特許出願第13/270,844号明細書で見ることができる。開示される実施形態の態様は、一態様では、たとえば、その開示全体が参照によって本明細書に組み込まれる、米国特許第8,239,066号明細書および第7,988,398号明細書に記載されるものなどの、線形搬送シャトルの基板搬送装置に組み込まれる。
図1Dに示された開示される実施形態の態様では、基板搬送装置26B、26iのアームは、取り出し/配置位置から基板を迅速に交換する(たとえば、基板を基板保持位置から取り出し、その後、すぐに基板を同じ基板保持位置に配置する)搬送を可能にする高速交換構成と呼ばれるものを提供するように配置され得る。基板搬送装置26B、26iは、(たとえば、アームリンク(たとえば、アッパーアーム/フォアアーム)の独立した動作軸(Ri、Φiなど)およびアーム110の各エンドエフェクタの独立した動作軸として明示され、各アームリンク(アッパーアーム、フォアアーム、エンドエフェクタ)のその対応する支持ジョイントを中心とした各々の独立した回転軸によって画定された)任意の適切な数(N)の自由度(DOF)の運動学的動作を各アームに提供するために、任意の適切な駆動セクション(たとえば、同軸に配置された駆動シャフト、並列した駆動シャフト、水平方向に隣接するモータ、垂直に積み重ねられたモータ)を有し得る。図1Dに見られるように、本態様では、モジュール56A、56、30iは、移送チャンバモジュール18B、18i間の間隙に配置され、適切な処理モジュール、(1つまたは複数の)ロードロックLL、(1つまたは複数の)バッファステーション、(1つまたは複数の)計測ステーション、または任意の他の所望の(1つまたは複数の)ステーションを画定し得る。たとえば、ロードロック56A、56および基板ステーション30iなどの、間隙モジュールは各々、基板搬送装置と協働して、線形搬送チャンバ416の長手方向軸LXBに沿った線形搬送チャンバ416の全長にわたる基板の搬送をもたらし得る固定基板支持体/棚56S1、56S2、30S1、30S2を有し得る。
例として、(1つまたは複数の)基板は、ツールインターフェースセクション12によって線形搬送チャンバ416に搭載され得る。(1つまたは複数の)基板は、インターフェースセクションの搬送アーム15によりロードロックモジュール56Aの(1つまたは複数の)支持体上に位置付けられ得る。ロードロックモジュール56A内の(1つまたは複数の)基板は、モジュール18B内の基板搬送装置26Bによってロードロックモジュール56Aとロードロックモジュール56との間で移動させられ、同様の且つ連続した方法で、(モジュール18i内の)基板搬送装置26iによりロードロック56と基板ステーション30iとの間で移動させられ、モジュール18i内の基板搬送装置26iによりステーション30iとステーション412との間で移動させられ得る。このプロセスは、(1つまたは複数の)基板を反対方向に移動させるために全体的または部分的に逆にされてもよい。したがって、一態様では、基板は、長手方向軸LXBに沿った任意の方向におよび搬送チャンバ416に沿った任意の位置に移動され、搬送チャンバ416(を処理する、またはそうでなければ)と連通する任意の所望のモジュールに搭載され、およびそこから取り外され得る。他の態様では、固定基板支持体または棚を備える間隙搬送チャンバモジュールは、搬送チャンバモジュール18B、18i間に設けられなくてもよい。そのような態様では、隣接する搬送チャンバモジュールの搬送アームは、基板を、直接、エンドエフェクタまたは1つの搬送アームから、別の搬送アームのエンドエフェクタに通過させて、搬送チャンバ416を通り抜けるように移動させられ得る。
処理ステーションモジュールは、様々な堆積、エッチング、または他のタイプのプロセスを介して基板上に動作して、基板上に電気回路または他の所望の構造を形成し得る。処理ステーションモジュールは搬送チャンバモジュールに接続され、それによって、基板を、搬送チャンバ416から処理ステーションに、および処理ステーションから搬送チャンバ416に通すことが可能になる。図1Dに示される処理装置と同様の一般的な特徴を有する処理ツールの適切な例は、その全体が参照により前に組み込まれた米国特許第8,398,355号明細書に記載されている。
図1Eは、上記の半導体ツールステーションと実質的に同様であり得る半導体ツールステーション11090Aの概略図である。ここで、半導体ツールステーション11090Aは、共通の大気フロントエンド11000に接続された別個/個別のインライン処理セクション11030SA、11030SB、11030SCを含む。本態様では、インライン処理セクション11030SA、11030SB、11030SCの少なくとも1つは、他のインライン処理セクション11030SA、11030SB、11030SCで処理された基板とは異なる所定の特性を有する基板S1、S2、S3を処理するように構成されている。たとえば、所定の特性は基板のサイズであってもよい。一態様では、例示目的のみで、インライン処理セクション11030SAは、直径200mmの基板を処理するように構成されてもよく、インライン処理セクション11030SBは、150mmの基板を処理するように構成されてもよく、およびインライン処理セクション11030SCは、300mmの基板を処理するように構成されてもよい。一態様では、基板搬送装置11013、11014の少なくとも1つは、共通のエンドエフェクタにより異なるサイズの基板S1、S2、S3を搬送するように構成されている。一態様では、ロードポートモジュール11050の各々は、共通のロードポートモジュール上で、異なるサイズの基板S1、S2、S3を保持するカセット11050を保持し、それとインターフェース接続するように構成され得る。他の態様では、各ロードポートモジュール11050は、所定のサイズの基板に対応する所定のカセットを保持するように構成されてもよい。少なくとも1つの共通の基板搬送装置11013、11014を用いて異なるサイズの基板を処理することによって、スループットが上昇し、単一の基板のバッチ処理に対するマシンダウンタイムが短縮され得る。
図1Fは、半導体ツールステーション11090と実質的に同様の半導体ツールステーション11090Bの概略図である。しかし、本態様では、処理モジュール11030およびロードポートモジュール11005は、半導体ツールステーション11090Aに関して上記したように、異なるサイズを有している基板を処理するように構成されている。本態様では、処理モジュール11030は、異なるサイズを有している基板を処理するように構成されてもよく、または他の態様では、半導体ツールステーション11090Bで処理されている異なるサイズの基板に対応する処理モジュールが提供されてもよい。
図1Gおよび図1Hを参照すると、開示される実施形態の態様は、分類機および/またはストッカに組み込まれ得る。一態様では、分類機および/またはストッカは、基板(上記のものなど)を分類またはストックするために使用され得る。一例として、図1Gおよび図1Hは、その開示全体が参照によって本明細書に組み込まれる、2010年4月20日に発行された米国特許第7,699,573号明細書に記載されたものと実質的に同様の操作デバイス12000を例示している。ここで、操作デバイス12000は、レチクルなどの基板を操作するように構成され得るが、他の態様では、操作デバイス12000は、任意の適切な基板を操作するように構成されてもよい。操作デバイス12000は、ハウジング12200を有しているモジュール型デバイスであってもよく、これは、ハウジング12200内の部屋環境をクリーンに維持するためのものである。操作デバイス12000は、パネル12600を含むハウジング12200に統合された入力/出力ステーション12700を含む。各パネル12600は、モジュール型でもある入力/出力ユニット12800に属している。それぞれのパネル12600の開口部12900の1つの縁部には、操作デバイス12000によって処理される各タイプの基板(たとえば、レチクル搬送ボックスなど)の外側輪郭に少なくともほぼ対応する輪郭が設けられている。開口部12900は、基板が開口部12900を通って操作デバイス12000へとおよび操作デバイス12000から入力/出力され得るように構成されている。一態様では、操作デバイス12000はまた、入力/出力ステーション12700の追加の入力/出力ユニット12800のコンポーネントである引き出し12170、12160を含む。引き出し12170、12160は、異なる構造上の高さを有してもよく、より大きな搬送ボックス、たとえば、2つ以上の基板を収容することができる搬送ボックスを受承するために引き出され得る、すなわち、より大きな搬送ボックスは、引き出し12160、12170を介して操作デバイス12000に導入され得る。操作デバイス12000はまた、本明細書に記載されるものと実質的に同様の少なくとも1つの基板搬送装置11014を含む。少なくとも1つの基板搬送装置11014は、分類、ストック、または(1つまたは複数の)任意の他の処理操作のために、操作デバイス12000内で1つまたは複数の基板を搬送するように構成されている。なお、本明細書に記載される操作デバイス12000の構成は例示であり、他の態様では、任意の適切な方法で基板を分類および/またはストックするための任意の適切な構成を有してもよい。
一態様では、操作デバイス12000は、上記の図1A~図1Fの半導体ツールステーションに含まれ得る。たとえば、一態様では、操作デバイス12000は、ロードポートおよび/または大気移送チャンバとして、半導体ツールステーション/システム11090、2010、11090A、11090Bの大気フロントエンド11000に組み込まれてもよく、一方で、他の態様では、操作デバイス12000は、処理モジュールおよび/または移送チャンバとして、半導体ツールステーション/システム11090、2010、11090A、11090Bの真空バックエンド11020に組み込まれてもよい。一態様では、操作デバイス12000は、真空バックエンド11020の代わりに大気フロントエンド11000に連結されてもよい。理解されるように、開示される実施形態の態様を組み込んだ操作デバイス12000は、共通のエンドエフェクタを使用して、共通のハウジングに多数の異なる形状および/またはサイズの基板を保管し得る。
ここで図3および図3Aを参照すると、例示的な基板搬送装置100が、開示される実施形態の態様に従って例示されている。基板搬送装置100は、図1A~図1Hに関して上記した基板搬送装置と実質的に同様であり、図2A~図2Eに関して上記したアーム構成の1つまたは複数を含み得る。基板搬送装置100は、半導体ツールステーションに関して上記したものなどの任意の適切な大気環境または真空環境で利用され得る。図3で分かるように、一態様では、基板搬送装置100は、フレーム106、少なくとも1つの基板搬送アーム110、およびフレーム106に取り付けられ、基板搬送アーム110に連結されたベース/ハウジング120を含む。一態様では、ベース120は、その中に配置され、基板搬送アーム110に連結された駆動セクション125を含む。上記のコントローラ11091などの任意の適切なコントローラは、駆動セクション125に接続されてもよく、本明細書に記載されるような基板搬送装置100の動作をもたらすための任意の適切なプログラムコードを含む。
一態様では、駆動セクション125は、4軸駆動セクションである一方で、他の態様では、任意の適切な数の駆動軸、たとえば2つ、3つ、または4つを超える軸を含んでもよい。一態様では、駆動セクション125は、概して、同軸駆動シャフトアセンブリ126および2つ以上のモータ125M1-125M4を備える。理解され得るように、2つ以上のモータ125M1-125M4は、水平に隣接した配置、垂直に積み重ねられた配置、または(本明細書で説明されるような)任意の他の適切な配置などの、任意の適切な配置を有してもよく、共通のハウジング(すなわち、ベース/ハウジング120)内に配置されてもよい。なお、本明細書に記載される駆動モータが、永久磁石モータ、可変リラクタンスモータ(対応するコイルユニットを備えた少なくとも1つの突極、および透磁性材料の少なくとも1つの突極を有している少なくとも1つのそれぞれのロータを有する)、または任意の他の適切な駆動モータであってもよい。
図3Aに例示されるように、駆動セクション125は、開示される実施形態の一態様に従って示されている。本態様では、駆動セクション125は、同軸駆動構成を有するが、他の態様では、任意の適切な駆動構成を有してもよい。本態様では、駆動セクション125は、共通のハウジング120に配置され、駆動セクション125が、4つの駆動シャフト126S1-126S4および4つのモータ125M1-125M4を含む駆動シャフトアセンブリ126を少なくとも部分的に収容する。基板搬送装置100の駆動セクション125が、4つのモータおよび4つの駆動シャフトを有するものとして図3および図3Aに例示されているが、図4~図7に示される他の例示的な駆動セクション構成は、任意の適切な数のモータおよび駆動シャフトならびに任意の適切な構成を有してもよい。
たとえば、図4に例示される駆動セクション125’は、2つの駆動シャフト126S1、126S2が同軸に配置され、2つのモータ125M1、125M2が同軸駆動シャフト126S1、126S2からずらされた/外された軸に配置されるような、2モータ軸外構成を含む。本態様では、任意の適切なトランスミッション127T1、127T2は、モータ125M1、125M2をそれぞれの駆動シャフト126S1、126S2に連結する。図5に例示される駆動セクション125’’は、3つの駆動シャフト126S1-126S3が同軸に配置され、3つのモータ125M1-125M3が同軸配置で垂直に積み重ねられるような、3モータ同軸構成を含む。図6に例示される駆動セクション125’’’は、4つの駆動シャフト126S1-126S4が同軸に配置され、4つのモータ125M1-125M4が入れ子にされた同軸配置に配置されるような、4モータ入れ子または同心構成を含む。たとえば、モータ125M2はモータ125M1内に入れ子にされ(たとえば、半径方向に囲まれ)、モータ125M3はモータ125M4内に入れ子にされる。入れ子にされたモータ125M1、125M2は、入れ子にされたモータ125M3、125M4に対して同軸に配置され、それによって、入れ子にされたモータ125M1、125M2は、入れ子にされたモータ125M3、125M4上に同軸に配置される。図7に例示される駆動セクション125’’’’は、3つの同軸駆動シャフト126S1-126S3および3つのモータ125M1-125M3を含む。モータの2つ125M1、125M2は、図6に関して上記した方法で、第3のモータ125M3の上または下に積み重ねられる。なお、入れ子にされたモータが異なるレベルL1、L2で縦軸に沿って上下に積み重ねられている、たとえば、図6および図7に示されるモータ構成では、モータの異なるレベルは、モータによって画定される独立した自由度の数未満であり、駆動/モータセンブリは、(1つまたは複数の)アーム100に対して半導体ツールステーション内に配置され、それによって、(1つまたは複数の)アーム100は、床の上の所定の標準高さHに配置される。駆動セクションの配置の他の適切な例は、米国特許第6,485,250号明細書、第5,720,590号明細書、第5,899,658号明細書、および第5,813,823号明細書に記載され、駆動システムの配置の他の適切な例は、その開示全体が参照により本明細書に組み込まれる、2008年6月27日に出願され、「Robot Drive with Magnetic Spindle Bearings」と題された米国特許出願第12/163,996号明細書に記載されるものを含む。
再び図3Aを参照すると、駆動セクション125の第1のモータ125M1は、外側駆動シャフト126S1に接続されたロータ125RT1およびステータ125ST1を含む。駆動セクション125の第2のモータ125M2は、内側駆動シャフト126S2に接続されたロータ125RT2およびステータ125ST2を含む。駆動セクション125の第3のモータ125M3は、駆動シャフト126S3に接続されたロータ125RT3およびステータ125ST3を含む。駆動セクション125の第4のモータ125M4は、第4の駆動シャフト126S4に接続されたロータ125RT4およびステータ125ST4を含む。4つのモータ125M1-125M4の4つのステータ125ST1-125ST4は、共通のハウジング120内の異なる垂直高さまたは位置で共通のハウジング120に固定して取り付けられている。モータ125M1-125M4は、モータ125M1が共通のハウジング120の上部にあり、続いて、モータ125M3がモータ125M1の直下にあり、モータ125M4がモータ125M3の直下にあり、モータ125M2が共通のハウジング120の底部にある状態で例示されているが、それぞれの駆動シャフト126S1-126S4を駆動するために、モータ125M1-125M4の任意の適切な配置が利用されてよい。
一態様では、4つのモータ125M1-125M4の(1つまたは複数の)ステータ125ST1-125ST4は、任意の適切な隔離壁またはバリア300の利用を介して、基板搬送アーム110が動作する雰囲気(基板搬送アームが動作する雰囲気は、本明細書では、真空または任意の他の適切な環境であり得る「密封された」環境と呼ばれる)から密封されている「外部の」または「密封されていない」環境に配置され得る一方で、(1つまたは複数の)ロータ125RT1-125RT4は密封された環境内に配置される。なお、本明細書で使用されるような「隔離壁」という用語は、駆動セクションおよび/または(駆動部に関連付けられた)センサの可動部分と駆動セクションおよび/またはセンサの対応する固定部分との間に配置され得る任意の適切な非強磁性材料から作られた壁を指し得る。一態様では、バリア300は、気密に密封された非磁性壁を介してモータロータを対応するモータステータから隔離するために、駆動セクション125のモータ125M1-125M4に共通の1つの実質的に連続するシールである「キャンシール(can-seal)」であり得る。「キャンシール」の適切な例は、たとえば、2014年11月13日に出願され、「Sealed Robot Drive」と題された米国出願第14/540,072号明細書に見られ得る。基板搬送装置100が、基板処理装置11090(図1)の大気フロントエンド11000内などの大気環境での使用のみを目的としている場合、隔離壁またはバリア300を設ける必要がないことを理解されるべきである。上述のように、モータ125M1-125M4のロータ125RT1-125RT4の各々は、それぞれの駆動シャフト126S1-126S4に接続され、概して永久磁石を備えるが、代替的に、永久磁石を有していない磁気誘導ロータを備えてもよい。
一態様では、駆動シャフト126S1-126S4は、任意の適切な軸受BRによって共通のハウジング/ベース120内に機械的に支持され得る。他の態様では、駆動シャフト126S1-126S4は、たとえば、その開示全体が参照により本明細書に組み込まれる、2012年10月9日に発行され、「Robot Drive with Magnetic Spindle Bearings」と題された米国特許第8,283,813号明細書、および2011年8月30日に発行され、「Substrate Processing Apparatus with Motors Integral to Chamber Walls」と題された米国特許第8,008,884号明細書に記載される方法などの任意の適切な方法で、ベース120内で(たとえば実質的に非接触で)磁気的に懸架されてもよい。駆動セクション125の各駆動シャフト126S1-126S4は、以下でさらに説明されるように、それぞれのモータ125M1-125M4によって駆動される。
引き続き図3および図3Aを参照すると、一態様では、駆動セクション125は、運動学的部分125KPおよび付属部分125APを含む。たとえば、2つ以上のモータ125M1-125M4の少なくとも1つは、駆動セクション125の運動学的部分125KPを画定し(たとえば、モータ125M1-125M3は、図3および図3Aの運動学的部分125KPを画定する)、2つ以上のモータ125M1-125M4の少なくとも別の1つは、駆動セクション125の付属部分125APを画定する(たとえば、モータ125M4は、図3および図3Aの付属部分125APを画定する)。付属部分125APは、たとえばベース120内の運動学的部分125KPに隣接して配置される。運動学的部分125KPおよび付属部分125APは、ベース120に配置されるものとして示されているが、運動学的部分125KPおよび付属部分125APは、ショルダジョイント111J、エルボジョイント112Jに、またはアッパーアームリンク111またはフォアアームリンク112内などの基板搬送装置100の任意の他の適切な位置に互いに隣接して配置されてもよい。
理解され得るように、運動学的部分125KPが、2つ以上のモータ125M1-125M4のN個のモータを含み得る一方で、付属部分125APは、運動学的部分125KPのN個のモータとは異なり、および別個である、2つ以上のモータ125M1-125M4の少なくとも別のモータを含む。たとえば、図4に例示される駆動セクション125’などの、2つのモータ125M1、125M2を有している駆動セクションでは、N個のモータは1つのモータ(たとえば、モータ125M1)であり、そのため、運動学的部分125KPは、2つのモータ125M1、125M2の1つを含み、2つのモータ125M1、125M2の別のモータ(たとえば、125M2)は、付属部分125APを画定する。別の例では、図5および図7に例示される駆動セクション125’’および125’’’’などの、3つのモータ125M1-125M3を有している駆動セクションでは、N個のモータは2つのモータ(たとえば、125M1、125M2)であり、そのため、運動学的部分125KPは、3つのモータ125M1-125M3の2つを含み、3つのモータ125M1-125M3の別のモータ(たとえば125M3)は、付属部分125APを画定する。別の例では、図3Aおよび図6に例示される駆動セクション125および125’’’などの、4つのモータ125M1-125M4を有している駆動セクションでは、N個のモータは3つのモータ(たとえば、125M1-125M3)であり、そのため、運動学的部分125KPは、4つのモータ125M1-125M4の3つを含み、4つのモータ125M1-125M4の別のモータ(たとえば125M4)は、付属部分125APを画定する。概して、運動学的部分125KPのN個のモータの各々は、基板搬送アーム110の運動学的動作を独立して(単独でまたは組み合わせて)駆動して、もたらすために提供され、そのため、N個のモータの各々は、(R、θ、Φ)として記述され得る基板搬送アーム110の動作を単独でまたは組み合わせて生成する、各々のアームリンクジョイント(111J、112J)およびリストジョイント113Jで対応する独立した回転軸として有効にされた、独立した駆動軸T1、T2、・・・Tnを提供する。理解されるように、運動学的部分125KPにN=3のモータを備えた基板搬送アーム110は、3自由度(R、θ、Φ)の運動学的動作を有する。運動学的部分125KPにN=2のモータを備えた基板搬送アーム110は、2自由度(R、θ)または(R、Φ)の運動学的動作を有する。運動学的部分125KPにN=4のモータを備えた基板搬送アーム110は、4自由度(R1、R2、θ、Φ)の運動学的動作を有する。図3に示す例において、基板搬送アーム110のショルダからリストおよびエンドエフェクタ113までのアームリンク111、112の動作経路MP(たとえば、動作経路は、1つのステーションから別のステーションまでであり得る、図1Bを参照)を記述する自由度は、以下でさらに説明される。概して、運動学的動作は、動作経路MPに沿った、フレームに対する、基板搬送アーム110の変位を含む。加えて、付属部分125APは、1つのモータを有するものとして上記の例で説明されているが、他の態様では、付属部分125APは、たとえば、基板搬送アーム110上に配置された任意の適切な数の付属部を駆動する/動力を加えるための任意の適切な数のモータを含んでもよいことが理解されるべきである。
ここで図3~図3Aおよび図8~図9を参照すると、上述したように、基板搬送装置100は、ベース120に取り付けられ、駆動セクション125に連結された少なくとも1つの基板搬送アーム110を含む。なお、図3~図3Aの4モータ駆動システムは一例として使用されており、以下に説明される開示される実施形態の態様は、図4~図7に例示される駆動セクション構成または任意の他の適切な駆動セクションに等しく適用される。一態様では、少なくとも1つの基板搬送アーム110は任意の適切な関節アームであり得る。一態様では、少なくとも1つの基板搬送アーム110はSCARAアームであり得る。他の態様では、基板搬送アーム110は、フロッグレッグアーム216構成、リープフロッグアーム217構成、左右対称型アーム218構成、または任意の他の適切な構成などの、図2A~図2Eに関して前述したアームのいずれか1つまたは複数と実質的に同様の構成を有してもよい。図8に見られるように、基板搬送装置は1つの基板搬送アーム110を含み、図9では、基板搬送装置100は2つの基板搬送アーム110A、110Bを含む。各基板搬送アーム110A、110Bは、特に別途明記されない限り、図8に示されるアームと実質的に同様であり得る。一態様では、各アーム110A、110Bは、独立して動作可能であってもよく、ここで各アームは、他のアームとは独立して伸長および収縮され、他の態様では、アーム110A、110Bは、共通のモータが、アーム110A、110Bを実質的に一斉に伸長および収縮させるように、共通のモータを共有してもよい。一態様では、各アームリンク111、112、113は、駆動セクション125のそれぞれの自由度によって駆動されてもよく、他の態様では、アームリンク111、112、113の1つまたは複数は、以下でさらに説明するように従属されてもよい。一態様では、少なくとも1つの基板搬送アーム110は、アッパーアームリンク111、フォアアームリンク112、および少なくとも1つのエンドエフェクタ113を含む。アッパーアームリンク111は、アッパーアームリンク111の近位端で、ショルダジョイント111Jのショルダ回転軸111SAを中心にベース120に回転可能に接続される。フォアアームリンク112は、フォアアームリンク112の近位端で、エルボジョイント112Jのエルボ回転軸112EAを中心にアッパーアームリンク111の遠位端に回転可能に接続される。エンドエフェクタ113は、リストジョイント113Jのリスト回転軸113WAを中心にフォアアームリンク112の遠位端に回転可能に連結される。単一のエンドエフェクタ113のみがリストジョイント113Jに連結されるものとして例示されているが、他の態様では、任意の適切な数のエンドエフェクタが、基板のバッチ移送または基板の高速交換をもたらすためにリストジョイント113Jに連結されてもよい。一態様では、基板搬送アーム110の各リンクが独立して駆動される場合、基板搬送アーム110の各リンク(すなわち、アッパーアームリンク111、フォアアームリンク112、およびエンドエフェクタ113)は、以下でさらに説明するように、それぞれのトランスミッション127T1-127T3を備えたそれぞれの駆動シャフト126S1-126S3に連結される。
他の態様では、基板搬送アーム110の1つまたは複数のリンクは、別のアームリンクまたはベース120に従属されてもよく、それによって、基板搬送アーム110は、所定の動作経路MP(図1Bを参照)に従って、エンドエフェクタ113を有するアームリンクよりも少ないモータで伸長および収縮される。たとえば、図9を参照すると、駆動セクション125の運動学的部分125KPは、モータ125M1-125M4を含んでもよく、一方で、付属部分125APは、モータ125M5、125M6を含む。運動学的部分125KPのモータは、それぞれの駆動シャフト126S1-126S4に連結されて、基板搬送アーム110A、110Bを駆動する。理解され得るように、モータ125M1は、駆動シャフト126S1に連結されて、基板搬送アーム110Bのアッパーアームリンク111Bを駆動し、モータ125M2は、駆動シャフト126S2に連結されて、基板搬送アーム110Bのフォアアームリンク112Bを駆動する。エンドエフェクタ113Bは、トランスミッション127TBを介してアッパーアームリンク111Bに従属される。モータ125M3は、駆動シャフト126S3に連結されて、基板搬送アーム110Aのアッパーアームリンク111Aを駆動する。モータ125M4は、駆動シャフト126S4に連結されて、基板搬送アーム110Aのフォアアームリンク112Aを駆動する。アーム110Bと実質的に同様に、エンドエフェクタ113Aは、トランスミッション127TAを介してアッパーアームリンク111Aに従属される。付属部分125APのモータ125M5、125M6は、それぞれの基板搬送アーム110A、110B上のそれぞれの付属デバイス130に連結される。たとえば、モータ125M5は駆動シャフト126S5に連結される。トランスミッション127T5は、駆動シャフト126S5に連結され、基板搬送アーム110Bを通ってエルボジョイント112JBに供給され、そこで動力が付属デバイス130Bに提供される。基板搬送アーム110Aは、基板搬送アーム110Bと実質的に同様の付属フィードスルーを有し、それによって、モータ125M6は駆動シャフト126S6に連結される。トランスミッション127TAは、駆動シャフト126S6に連結され、エルボジョイント112JAに供給され、そこで動力が付属デバイス130Aに提供される。
一態様では、基板搬送アーム110は、2つ以上の駆動モータ125M1-125M4のうち、運動学的部分125KPのN個によって画定された、N数の自由度を有している。たとえば、図3、図3A、および図8に例示されるように、アッパーアームリンク111、フォアアームリンク112、およびエンドエフェクタ113は、駆動シャフト126S1-126S3を介して、駆動セクション125の運動学的部分125KPのそれぞれのモータ125M1-125M3に連結される。理解され得るように、第1の駆動シャフト126S1は、ショルダジョイント111Jのショルダ回転軸111SAを中心としたアッパーアームリンク111の回転を駆動するためにモータ125M1に駆動接続される。第2の駆動シャフト126S2(本態様では第1の駆動シャフト126S1と同心/同軸である)は、エルボジョイント112Jのエルボ回転軸112EAを中心としたフォアアームリンク112の回転を駆動するためにモータ125M2に駆動接続される。第3の駆動シャフト126S3(本態様では第1および第2の駆動シャフト126S1、126S2と同心/同軸である)は、リストジョイント113Jのリスト回転軸113WAを中心としたエンドエフェクタ113の回転を駆動するためにモータ125M3に駆動接続される。
図3、図3A、および図8を参照すると、上述のように、駆動セクション125の運動学的部分125KPは、基板搬送アーム110に連結され、それぞれの駆動シャフト126S1-126S3を駆動するように構成され、それによって、運動学的部分125KPは、基板搬送アーム110の運動学的動作をもたらす。一態様では、基板搬送アーム110の運動学的動作経路は、N数の自由度の少なくとも1つによって記述される。各リンク111、112、113を駆動するために、上述のように、基板搬送アーム110は、1つまたは複数のトランスミッション127T1-127T3を含む。たとえば、第1のトランスミッション127T1は、駆動シャフト126S1をアッパーアームリンク111に駆動連結する連結部306を含む。第2のトランスミッション127T2は、駆動シャフト126S2をフォアアームリンク112に駆動連結するためのプーリ301、303およびトランスミッション部材304を含む。第3のトランスミッション127T3は、駆動シャフト126S3をエンドエフェクタ113に駆動連結するための、プーリ305、307、311、320、トランスミッション部材309、310、およびポスト308を含む。
アッパーアームリンク111は、第1のトランスミッション127T1を介して外側駆動シャフト126S1に固定して取り付けられており、それにより、アッパーアームリンク111は、ショルダ回転軸111SAを中心に駆動シャフト126S1と一体的に回転する。第2のトランスミッション127T2のプーリ301は、ショルダ回転軸111SAを中心に駆動シャフト126S2と一体的に回転するように駆動シャフト126S2に固定して取り付けられている。第2のトランスミッション127T2のプーリ303は、エルボ回転軸112EAを中心にアッパーアームリンク111の内面に取り付けられている。トランスミッション部材304は、プーリ301とプーリ303との間に延在している。プーリ301、303を連結するために、任意の適切なタイプのトランスミッション部材、たとえばベルト、バンドまたはチェーンなどを使用してよく、さらにトランスミッション部材が、スプリットバンドトランスミッションまたは連続ループトランスミッションなどの任意の適切な構成を有してもよいことが理解されるべきである。スプリットバンドトランスミッションおよび連続ループトランスミッションの適切な例は、たとえば、その開示全体が参照により本明細書に組み込まれる、2014年8月26日に出願され、「Substrate Transport Apparatus」と題された米国特許出願第14/469,260号明細書、および2016年1月5日に発行された米国特許第9,230,841号明細書で見ることができる。プーリ301、303を連結する1つのトランスミッション部材が示されているが、プーリ301、303を連結するために、任意の適切な数(たとえば、2つ以上)のトランスミッション部材が使用されてもよいことも理解されるべきである。フォアアームリンク112は、エルボ回転軸112EAを中心にプーリ303と共に回転するようにプーリ303に固定して取り付けられている。したがって、駆動シャフト126S2が回転すると、フォアアームリンク112は、エルボ回転軸112EΑを中心に回転する。
第3のトランスミッション127T3のプーリ305は、ショルダ回転軸111SAを中心に駆動シャフト126S3と一体的に回転するように駆動シャフト126S3に固定して連結されている。プーリ307は、アッパーアームリンク111の内面に取り付けられ、プーリ320は、フォアアームリンク112の内面に取り付けられ、両方のプーリ307、320は、エルボ回転軸112EAを中心に取り付けられ、2つのプーリ307、320を連結する関節エルボジョイント112Jを横切って延在するポスト308を有し、それによって、プーリ307、320は、エルボ回転軸112EAを中心に一体的に回転する。トランスミッション部材309は、プーリ305とプーリ307との間に延在している。プーリ311は、リスト回転軸113WAを中心にフォアアームリンク112の内面に取り付けられている。トランスミッション部材310は、プーリ311とプーリ320との間に延在している。エンドエフェクタ113は、リスト回転軸113EAを中心にプーリ311と共に回転するように、プーリ311に固定して取り付けられている。これにより、駆動シャフト126S3が回転すると、エンドエフェクタ113はリスト回転軸113WAを中心に回転する。理解され得るように、本態様では、基板搬送アーム110は、3つのモータ125M1-125M3によって画定された3自由度を有している。運動学的部分125KPが1つのモータを有している場合などの他の態様では、基板搬送アーム110は、単一のモータが、アッパーアームリンク111、フォアアームリンク112、およびエンドエフェクタ113の各々に動作可能に連結されたトランスミッションを駆動するようなスレーブ構成を有してもよい。
引き続き図3、図3A、および図8を参照すると、一態様では、駆動セクション125の付属部分125APは、1つまたは複数の付属デバイス130に動作可能に連結され、それを駆動するように構成されている。一態様では、駆動セクション125の付属部分125APは、1つまたは複数の付属デバイス130に動力を加えるおよび/または1つまたは複数の付属デバイス130を駆動するように、1つまたは複数の付属デバイス130に動作可能に連結されている。一態様では、1つまたは複数の付属デバイス130は、基板搬送アーム110の各動作経路に対する自由度の動作軸付属部を有している。上述したように、駆動セクション125の付属部分125APは、運動学的部分125KPとは異なる且つ別個の(1つまたは複数の)モータを有している。異なり、別個である(1つまたは複数の)モータを有している付属部分125APは、基板搬送アーム110の運動学的動作(およびそれによって提供される各動作経路)とは無関係に駆動される1つまたは複数の付属デバイス130を提供する。
図3、図3A、および図8を参照すると、駆動セクション125の付属部分125APは、たとえば、駆動シャフト126S4に連結されたモータ125M4を含む。一態様では、基板搬送アーム110は、ベース120から基板搬送アーム110を通って、たとえばリストジョイント113Jまで延在する、基板搬送アーム110内に移動可能に取り付けられた少なくとも別のトランスミッション127T4をさらに含む。少なくとも別のトランスミッション127T4は、付属部分125APのモータ125M4によって生成された駆動トルクを介して、1つまたは複数の付属デバイス130を駆動する/1つまたは複数の付属デバイス130に動力を加えるように構成されている。上述のように、少なくとも別のトランスミッション127T4は、基板搬送アーム110の運動学的動作のN数の自由度とは無関係に、1つまたは複数の付属デバイス130の駆動力をもたらす。一態様では、少なくとも別のトランスミッション127T4は、プーリ312、313、317、321、トランスミッション部材312、316、およびポスト314、318を含む。なお、少なくとも別のトランスミッション127T4は、付属部分125APがベース120からリストジョイント113Jまで全長に亘って延在することがない場合など、より多くのまたはより少ないプーリ、トランスミッション部材、およびポストを含んでもよい。たとえば、他の態様では、付属部分125APの少なくとも別のトランスミッション127T4は、ベース120から部分的に基板搬送アーム110を通って、たとえば、エルボジョイント112Jまで、またはたとえばフォアアームリンク112もしくはアッパーアームリンク111の任意の他の部分まで延在し得る。
さらに図8を参照すると、例示されるように、少なくとも別のトランスミッション127T4のプーリ312は、ショルダ軸111SAを中心に駆動シャフト126S4と一体的に回転するように、駆動シャフト126S4に固定して連結される。プーリ313はアッパーアームリンク111の内面に取り付けられ、プーリ321はフォアアームリンク112の内面に取り付けられ、両方のプーリ313、321は、エルボ回転軸112EAを中心に取り付けられ、ポスト314は関節エルボジョイント112Jを横切って延在し、2つのプーリ313、321を連結して、それによって、プーリ313、321はエルボ回転軸112EAを中心に一体的に回転する。トランスミッション部材315は、プーリ313とプーリ321との間に延在されている。プーリ317は、リスト回転軸113WAを中心にフォアアームリンク112の内面に取り付けられている。トランスミッション部材316は、プーリ316とプーリ321との間に延在されている。ポスト318は、以下でさらに説明するように、プーリ317に連結され、リストジョイント113Jに沿って延在し、ここで、1つまたは複数の付属デバイス130は、ポスト308に取り付けられて、駆動される/動力を加えられる。したがって、少なくとも別のトランスミッション127T4は、駆動セクション125の付属部分125APを1つまたは複数の付属デバイス130に動作可能に連結する。なお、少なくとも別のトランスミッション127S4のコンポーネントは、運動学的部分125KPおよび付属部分125APに十分な動作を提供するように寸法決めされるか、またはそうでなければ構成され、それにより、付属部分125APは、制約なしに、基板搬送アーム110の運動学的動作に適応する、および基板搬送アーム110の動作の範囲全体にわたって駆動トルクを提供するのに十分な動作の自由度を有する。たとえば、トランスミッション部材315、316がバンドを備える場合、基板搬送アーム110の移動を制限することなく、1つまたは複数の付属デバイス130の操作を可能にするのに十分な量だけバンドはプーリに巻き付けられ、プーリは寸法決めされ得る。
一態様では、ポスト318は、以下でさらに説明されるように、付属駆動出力ポート115を含み得る。上述のように、付属部分125APの少なくとも別のトランスミッション127T4は、基板搬送アーム110を通ってエンドエフェクタ113まで全長に亘って延在しなくてもよく、たとえば、エルボジョイント112Jまで、またはたとえばフォアアームリンク112もしくはアッパーアームリンク111の任意の他の部分まで部分的に延在してもよい。理解されるように、付属部分125APがエンドエフェクタ113まで全長に亘って延在していない場合、駆動シャフト126S1-126S4およびプーリ301、313、305、307、311、312、312、317、320、321の構成は変更されてもよい。
上述のように、付属部分125APは、1つまたは複数の付属デバイス130を駆動する、または1つまたは複数の付属デバイス130に動力を加えるように構成されている。一態様では、エンドエフェクタ113および/または基板搬送アーム110は、エンドエフェクタ113に連結された、または基板搬送アーム110の任意の適切な位置にある、1つまたは複数の付属デバイス130を含み得る。1つまたは複数の付属デバイス130は、グリップ機構130GM、基板アライナ130WA、動力生成機130PGおよび/または任意の他の適切な付属デバイスを含み得る。上述のようなスプリットバンドトランスミッションまたは連続ループトランスミッションのいずれかを利用し得る駆動セクション125の付属部分125APは、それぞれの付属デバイス130GM、130WA、130PGのそれぞれの特性(たとえば、把持、位置合わせ、動力生成、または任意の他の適切な動作特性)に動力を加えるおよび/または駆動するように構成されている。
さらに図8を参照すると、一態様では、1つまたは複数の付属デバイス130の各々は、異なる所定の特性を有している別の付属デバイス130PG、130WA、130GMと容易に交換され得る。たとえば、基板搬送アーム110は、それぞれが他の付属デバイス130PG、130WA、130GMの所定の特性とは異なるそれぞれの所定の特性を有している異なる付属デバイス130PG、130WA、130GMが、交換されて、モジュール式の基板搬送アーム上に取り付けられるように、モジュール式アームであり得る。一態様では、図8に見られるように、モジュール式アームは、パワーテイクオフと実質的に同様であり得る付属駆動出力ポート115を含む。たとえば、一態様では、付属駆動出力ポート115は解放連結部であり得る。一態様では、付属駆動出力ポート115は、たとえば、ポスト318などの、エンドエフェクタ113または1つまたは複数の付属デバイス130が挿入されて連結される内スプラインを有しているトランスミッション連結部のうちの1つのプーリであり得る。他の態様では、付属駆動出力ポート115は、エンドエフェクタ113または1つまたは複数の付属デバイス130を基板搬送アーム110に連結するための任意の適切な装置であってもよい。
付属駆動出力ポート115は、上述したように、リストジョイント113Jに配置されているように例示されているが、エルボジョイント112Jに、フォアアームリンク112上に、アッパーアームリンク111上に、または任意の適切な位置上に配置されてもよい。一態様では、付属駆動出力ポート115は、本明細書で説明するように、エンドエフェクタ113または1つまたは複数の付属デバイス130を受承し、エンドエフェクタ113または1つまたは複数の付属デバイス130を付属部分125APの(1つまたは複数の)モータと統合させるように構成されている。
ここで図10を参照すると、グリップ機構130GMは、エンドエフェクタ113の基板支持表面601によって支持された基板Sとインターフェース接続するように、任意の適切な方法でエンドエフェクタ113に取り付けられている。グリップ機構130GMは、付属部分125APのモータ125M4の原動力下で基板Sに向かって移動される可動式のエッジグリッパ602、603、および604を含む。たとえば、モータ125M4は、エッジグリッパ602、603、604の移動を駆動するように、トランスミッション127T4を介してグリップ機構130GMに連結されている。一態様では、トランスミッション127T4は、グリップ機構130GMのトランスミッション600によってグリップ機構130GMの線形アクチュエータ606に連結されている。一態様では、線形アクチュエータ606は、ねじまたはベルト駆動部であってもよく、トランスミッション600は、任意の適切なギア、またはポスト318(および付属駆動出力ポート115)(図8を参照)の回転運動を、線形アクチュエータ606を駆動する動作に転換するように構成された他のトランスミッションであってもよい。ここで、線形アクチュエータ606の線形移動によって、それぞれの接触パッド607、608、および609は基板Sの縁部と係合する。接触パッド607、608、および609は各々、上部リップおよび下部リップを備えてもよく、それらの間に夾角が形成されており、基板の縁部は夾角内に保持されている。トランスミッション127T4は、上記のように、基板移送アーム110を通って、リストジョイント113Jに配置された付属駆動出力ポート115に供給される。駆動セクション125の付属部分125APのモータ125M4(運動学的部分125KPは図示せず)は、トランスミッション600を転換するために付属駆動出力ポート115に駆動力を提供する。トランスミッション600によって、線形アクチュエータ606は、グリップ機構130GMを作動させて、基板Sを把持する。開示される実施形態の態様が適用され得るアクティブなグリップ機構の別の適切な例は、その全体が参照により本明細書に組み込まれる、米国特許出願第10/196,679号(2002年7月15日出願)に記載されている。他の態様では、エンドエフェクタは、基板Sの上面および/または背面を把持するための垂直グリップ機構などの、任意の他の適切なアクティブなエッジグリップ機構を有してもよい。
図11は、動力生成機130PGによって動力が加えられる基板マッピング/存在センサを例示している。たとえば、エンドエフェクタ113は、エンドエフェクタ113上の基板Sの有無を検出するために、エンドエフェクタ113上に位置づけられた基板存在センサ701を含む。エンドエフェクタ113はまた、処理ツール内の基板(カセットまたはバッファに積み重ねられた基板など)をマッピングするためのマッピングセンサ700を含み得る。一態様では、センサ700は、ビームエミッタ700Aおよびビームレシーバ700Bを有しているスルービームセンサである。センサ700、701からの信号は、無線通信などの任意の適切な方法で、コントローラ11091などの任意の適切なコントローラに送信され得る。センサ700、701は、本態様ではリストジョイント113J上に配置され、付属駆動出力ポート115に接続された動力生成機130PGによって動力が加えられる。駆動セクション125の付属部分125APのモータ125M4(運動学的部分125KPは図示せず)は、付属駆動出力ポート115に機械的動力/原動力を提供する。付属駆動出力ポート115に取り付けられた動力生成機130PGは、モータ125M4によって駆動され、センサ700、701およびセンサ700、701に連結された任意の無線送信機に動力を加えるために電力を生成する。動力生成機130PGは、センサに動力を加えるために動力生成機130PGから電力を提供する送電導管703を介してセンサ700、701に接続される。
ここで図12を参照すると、基板アライナ130WA付属デバイスは、基板アライナ130WAがエンドエフェクタ113上の基板Sを支持するように、任意の適切な方法でエンドエフェクタ113に取り付けられるように例示されている。本態様では、付属部分125APは、基板アライナ130WAに動力を加える/基板アライナ130WAを駆動するための2つのモータ(たとえば、125M4、125M5、なお、駆動セクション125の運動学的部分125KPは示されていない)を含む。基板アライナ130WAは、ベース1200、チャック1201、およびモータ1202を含む。ベース1200は、概して、基板移送アーム110のエンドエフェクタ113に取り付けるように構成されている。チャック1201は、ベース1200上に回転可能に支持されている。チャック1201は、たとえば、基板を受動的にエッジグリップすることによって基板Sを保持するための構造を有している。モータ1202は、少なくとも部分的にベース1200内に配置され、チャック1201に接続されて、ベース1200に対してチャック1201を回転させる。モータ1202は、基板がチャック1201によって保持されているときに、実質的に基板Sの中心Cを通って延在する動作軸Rを有している。基板アライナ130WAはさらに、基板Sをチャック1201から持ち上げるためにベース1200の上面から(矢印Zで示される方向に)引き上げられる、または持ち上げられる昇降可能な支持体1205を含む。
電力は、たとえば、上記したように、付属部分125APのモータ125M4によって駆動される動力生成機130PGからモータ1202によって受け取られる。動力生成機130PGは、フォアアームリンク112上に配置され、フォアアームリンク112の長さに沿っても配置される付属駆動出力ポート115’(付属駆動出力ポート115と実質的に同様)に接続される。トランスミッション127T4は、基板搬送アーム110を通って、フォアアームリンク112に配置された付属駆動出力ポート115’に供給される。付属駆動出力ポート115’に取り付けられた動力生成機130PGは、モータ125M4によって駆動されて、送電導管703を介してモータ1202に動力を加えるための電力を生成し、チャック1201を所望の向きに回転させる。
昇降可能な支持体1205は、基板120が基板の回転位置合わせ後の上昇した位置に着座されるように提供され、一方で、チャック1201は、モータ1202を使用して、たとえばホーム位置またはゼロ位置にリセットされ、基板Sを配置するためにチャック1201をエンドエフェクタ113と位置合わせする。昇降可能な支持体1205は、基板Sをチャック1201に戻すために降下され得る。したがって、基板Sの向きは、チャック1201の位置がリセットされている間維持される。昇降可能な支持体1205は、駆動セクション125の付属部分125APの第2のモータ125M2によって駆動される/動力が加えられる。トランスミッション127T5は、基板搬送アーム110を通って、たとえば、リストジョイント113Jに配置された付属駆動出力ポート115に供給される。任意の適切なトランスミッション1204(これは、図10に関して上記したトランスミッション600と実質的に同様であり得る)は、機械的原動力を付属駆動出力ポート115から昇降可能な支持体1205に提供するために利用され得る。上述のように、基板アライナ130WAはまた、欠落している基板Sを検出すること、および/または基板厚さを検出することのうちの1つまたは両方のために構成され得る。
他の態様では、付属部分125APのモータ125M4は、付属部分125APのモータ125M4からのフィードバックを介して、その場(in-situ)での動きをモニタリングするために利用されてもよい。たとえば、その場での動きのモニタリングは、たとえば、モータ125M4からの電流の流れを測定することによって、モータのトルクのモニタリングを介して実現され得る。トルクは、たとえば、基板Sの把持を検出するためにモニタリングされて、基板がグリッパ機構130GMによって係合されているかどうかを判定することができる。理解され得るように、基板がグリッパと係合すると、モータ125M4の電流の流れは増加する。基板の係合が解除されると、モータ125M4の電流の流れは減少する。他の態様では、付属部分125APのモータ125M4にエンコーダ500(図5)が含まれ、エンコーダ500を介してモータの変位(すなわち、回転および移動)をモニタリングすることによって基板搬送アーム110の変位を特定することができる。
次に図13を参照すると、付属デバイスを駆動するための独立型の機械的フィードスルーを含む基板搬送装置100の例示的な動作の方法1000が例示されている。一態様では、当該方法1000は、基板搬送装置100のフレーム106を提供することを含む(図13、ブロック1001)。当該方法はさらに、フレーム106に接続された基板搬送アーム110を提供することを含み、基板搬送アーム110はエンドエフェクタ113を有している(図13、ブロック1002)。当該方法1000はさらに、基板搬送アーム110に連結された少なくとも1つのモータを有している駆動セクション125を提供することを含む。駆動セクション125の少なくとも1つのモータ125M1-125M3は、駆動セクション125の運動学的部分125KPを画定し、少なくとも1つのモータ125M1-125M3に隣接する別のモータ125M4は、駆動セクション125の付属部分125APを画定する。別のモータ125M4は、少なくとも1つのモータ125M1-125M3とは異なり、別個である(図13、ブロック1003)。当該方法1000はさらに、フレーム106に対する動作経路MP(図1B参照)に沿って基板搬送アーム110を変位させるために、少なくとも1つのモータを用いて、基板搬送アーム110の運動学的動作を駆動することを含む(図13、ブロック1003)。当該方法1000はさらに、別のモータ125M4を用いて、基板搬送アーム110の運動学的動作とは無関係に1つまたは複数の付属デバイス130を駆動することを含む(図13、ブロック1004)。
ここで図14を参照すると、付属デバイスを駆動するための独立型の機械的フィードスルーを含む基板搬送装置100の例示的な動作の方法1400が例示されている。一態様では、当該方法1400は、基板搬送装置100の基板搬送アーム110を用いて、たとえば真空内のステーションから基板を取り出すことを含む(図14、ブロック1401)。基板は、図1A~図1Hに関して上記したものなどの任意の適切なステーション(すなわち、ロードポート、処理ステーション、バッファなど)から取り出されてもよい。当該方法1400はさらに、ステーション間の動作経路MPに沿って、基板Sおよび付属デバイス130を駆動セクション125の付属部分125APとインターフェース接続させ、任意の適切なセンサ、たとえば、ウエハセンタリングセンサ、または、たとえば、基板搬送アーム110上もしくはステーションのいずれかに配置され得る位置を感知するための任意の他の適切なセンサを用いて、付属部分125APから基板の位置を感知することを含む(図14、ブロック1402)。位置を感知すると、駆動セクション125の付属部分125APの運動学的部分125KPは、たとえば、図12に関して前に説明した基板アライナWAデバイスを用いて、位置を調整し得る(図14、ブロック1403)。基板は、取り出されたステーションとは異なり得る別のステーションまたは同じステーションに配置される(図14、ブロック1404)。
開示される実施形態の1つまたは複数の態様によれば、基板搬送装置が提供される。基板搬送装置は、フレームと、フレームに接続された基板搬送アームであって、エンドエフェクタを有する基板搬送アームと、基板搬送アームに連結された少なくとも1つのモータを有する駆動セクションであって、少なくとも1つのモータが、基板搬送アームの運動学的動作をもたらすように構成された駆動セクションの運動学的部分を画定し、駆動セクションが、運動学的部分に隣接した付属部分を含み、付属部分が、少なくとも1つのモータとは異なり、別個である、別のモータを有し、付属部分の別のモータが、基板搬送アームの運動学的動作とは無関係に、1つまたは複数の付属デバイスに動作可能に連結され、1つまたは複数の付属デバイスを駆動するように構成されている、駆動セクションとを含む。
開示される実施形態の1つまたは複数の態様によれば、付属部分の別のモータは、少なくとも1つの運動学的トランスミッション連結部を介して1つまたは複数の付属デバイスを駆動する。
開示される実施形態の1つまたは複数の態様によれば、基板搬送アームは関節アームであり、少なくとも1つの運動学的トランスミッション連結部は、関節アームの少なくとも1つの関節ジョイント横切って延在する。
開示される実施形態の1つまたは複数の態様によれば、1つまたは複数の付属デバイスは、グリッパ機構、基板アライナ、または電力生成機の1つまたは複数を備える。
開示される実施形態の1つまたは複数の態様によれば、グリッパ機構は、基板の縁部を把持する、または基板の上面および背面を垂直に把持するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、基板アライナは、付属部分の別のモータからのフィードバックを介して、欠落している基板を検出すること、基板厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは、基板搬送アームにN自由度を提供するN個のモータである。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは3つのモータを備え、3つのモータは各々が、基板搬送アームの垂直、回転、または水平面の動作のそれぞれ1つを駆動するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、基板搬送装置は、処理装置用の少なくとも1つのツールを備える。
開示される実施形態の1つまたは複数の態様によれば、方法が提供される。当該方法は、基板搬送装置のフレームを提供することと、フレームに接続された基板搬送アームを提供することであって、基板搬送アームがエンドエフェクタを有する、基板搬送アームを提供することと、駆動セクションを提供することであって、駆動セクションが、基板搬送アームに連結された少なくとも1つのモータ、および少なくとも1つのモータに隣接した別のモータを有し、少なくとも1つのモータが、駆動セクションの運動学的部分を画定し、別のモータが、少なくとも1つのモータとは異なり、別個であり、付属部分を画定する、駆動セクションを提供することと、少なくとも1つのモータを用いて、基板搬送アームの運動学的動作を駆動することと、別のモータを用いて、基板搬送アームの運動学的動作とは無関係に、1つまたは複数の付属デバイスを駆動することとを含む。
開示される実施形態の1つまたは複数の態様によれば、当該方法はさらに、付属部分の別のモータの少なくとも1つの運動学的トランスミッション連結部を用いて、1つまたは複数の付属デバイスを駆動することを含む。
開示される実施形態の1つまたは複数の態様によれば、基板搬送アームは関節アームであり、少なくとも1つの運動学的トランスミッション連結部は、関節アームの少なくとも1つの関節ジョイントを横切って延在する。
開示される実施形態の1つまたは複数の態様によれば、1つまたは複数の付属デバイスは、グリッパ機構、基板アライナ、または電力生成機の1つまたは複数を備える。
開示される実施形態の1つまたは複数の態様によれば、当該方法はさらに、グリッパ機構を用いて、基板の縁部を把持すること、または基板の上面および背面を垂直に把持することを含む。
開示される実施形態の1つまたは複数の態様によれば、当該方法はさらに、基板アライナを用いて、付属部分の別のモータからのフィードバックを介して、欠落している基板を検出すること、基板厚さを検出すること、および/またはその場での動きをモニタリングすることを含む。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは、基板搬送アームにN自由度を提供するN個のモータである。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは3つのモータを備え、当該方法はさらに、3つのモータの各々を用いて、基板搬送アームの垂直、回転、または水平面の動作のそれぞれ1つを駆動することを含む。
開示される実施形態の1つまたは複数の態様によれば、当該方法はさらに、動作中の基板搬送アーム上で1つまたは複数の付属デバイスを動作させることを含む。
開示される実施形態の1つまたは複数の態様によれば、当該方法はさらに、基板保持ステーションにおいて、基板搬送アーム上で1つまたは複数の付属デバイスを動作させることを含む。
開示される実施形態の1つまたは複数の態様によれば、基板搬送アームが提供される。基板搬送アームは、エンドエフェクタを有するマルチリンクアームアセンブリと、基板搬送アームに連結された少なくとも1つのモータを有する駆動セクションであって、少なくとも1つのモータが、基板搬送アームの運動学的動作をもたらすように構成された駆動セクションの運動学的部分を画定し、駆動セクションが、運動学的部分に隣接した付属部分を含み、付属部分が、少なくとも1つのモータとは異なり、別個である、別のモータを有し、付属部分の別のモータが、基板搬送アームの運動学的動作とは無関係に、1つまたは複数の付属デバイスに動作可能に連結され、1つまたは複数の付属デバイスを駆動するように構成されている、駆動セクションとを含む。
開示される実施形態の1つまたは複数の態様によれば、付属部分の別のモータは、少なくとも1つの運動学的トランスミッション連結部を介して1つまたは複数の付属デバイスを駆動する。
開示される実施形態の1つまたは複数の態様によれば、マルチリンクアームアセンブリは関節アームであり、少なくとも1つの運動学的トランスミッション連結部は、関節アームの少なくとも1つの関節ジョイントを横切って延在する。
開示される実施形態の1つまたは複数の態様によれば、1つまたは複数の付属デバイスは、グリッパ機構、基板アライナ、または電力生成機のうちの1つまたは複数を備える。
開示される実施形態の1つまたは複数の態様によれば、グリッパ機構は、基板の縁部を把持する、または基板の上面および背面を垂直に把持するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、基板アライナは、付属部分の別のモータからのフィードバックを介して、欠落している基板を検出すること、基板厚さを検出すること、およびその場での動きをモニタリングすることうちの1つまたは複数のために構成されている。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは、基板搬送アームにN自由度を提供するN個のモータである。
開示される実施形態の1つまたは複数の態様によれば、少なくとも1つのモータは、共通のハウジング内にある。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置が提供される。ワークピース搬送装置は、フレームと、フレームに接続され、2つ以上の駆動モータを有するベース駆動セクションと、少なくとも1つのワークピース保持ステーションを上部に有する少なくとも1つのエンドエフェクタを有し、ベース駆動セクションに動作可能に連結された関節アームであって、2つ以上の駆動モータのうちにN個が、2つ以上の駆動モータのうちのN個によって画定されるN数の自由度を有する関節アームの運動学的動作を同時に駆動する、およびもたらすように構成され、N数の自由度の少なくとも1つによって記述される動作経路に沿ってフレームに対してワークピース保持ステーションを変位させる、関節アームと、関節アームに接続され、ベース駆動セクションに動作可能に連結された付属デバイスであって、ベース駆動セクションが、2つ以上の駆動モータのうちの少なくとも1つの駆動モータを有し、前記少なくとも1つの駆動モータが、各ワークピース保持ステーションの各動作経路を記述するN数の自由度の各々を画定する2つ以上の駆動モータのうちのN個の各々とは異なり、別個である付属駆動モータであり、付属駆動モータが、付属デバイスに動力を加えるように付属デバイスに動作可能に連結されている、付属デバイスとを含む。
開示される実施形態の1つまたは複数の態様によれば、2つ以上の駆動モータは、共通のハウジング内にある。
開示される実施形態の1つまたは複数の態様によれば、付属デバイスは、各ワークピース保持ステーションの各動作経路に対する自由度の動作軸付属部を有している。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置はさらに、付属駆動モータと付属デバイスを動作可能に連結し、関節アームの関節アーム部分内に移動可能に取り付けられた少なくとも1つの運動学的トランスミッション部材を有している付属運動学的トランスミッションを含み、付属運動学的トランスミッションを介して、付属駆動モータによって生成される運動学的トランスミッション部材駆動トルクが、関節アームの運動学的動作のN数の自由度とは無関係に付属デバイスの駆動力をもたらすように付属デバイスに伝達される。
開示される実施形態の1つまたは複数の態様によれば、付属デバイスは、グリッパ機構、基板アライナ、または電力生成機の1つまたは複数を備える。
開示される実施形態の1つまたは複数の態様によれば、グリッパ機構は、基板の縁部を把持する、または基板の上面および背面を垂直に把持するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、基板アライナは、付属部分の別のモータからのフィードバックを介して、欠落している基板を検出すること、基板厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている。
開示される実施形態の1つまたは複数の態様によれば、2つ以上の駆動モータは3つの駆動モータを備え、3つの駆動モータの各々は、関節アームの垂直または回転動作のそれぞれ1つを駆動するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置は、処理装置用の少なくとも1つのツールを備える。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置が提供される。ワークピース搬送装置は、フレームと、フレームに接続され、2つ以上の駆動モータを有するベース駆動セクションと、少なくとも1つのワークピース保持ステーションを上部に有する少なくとも1つのエンドエフェクタを有し、ベース駆動セクションに動作可能に連結された関節アームであって、2つ以上の駆動モータのうちのN個が、2つ以上の駆動モータのうちのN個によって画定されるN数の自由度を有する関節アームの運動学的動作を同時に駆動する、およびもたらすように構成され、N数の自由度の少なくとも1つによって記述される動作経路に沿ってフレームに対してワークピース保持ステーションを変位させる、関節アームと、関節アームに接続され、ベース駆動セクションに動作可能に連結された付属駆動出力ポートであって、ベース駆動セクションが、2つ以上の駆動モータのうちの少なくとも1つの駆動モータを有し、少なくとも1つの駆動モータが、各ワークピース保持ステーションの各動作経路を記述するN数の自由度の各々を画定する2つ以上の駆動モータのうちのN個の各々とは異なり、別個である付属駆動モータであり、付属駆動モータが、付属駆動出力ポートに動力を提供するように付属駆動出力ポートに動作可能に連結されている、付属駆動出力ポートとを含む。
開示される実施形態の1つまたは複数の態様によれば、2つ以上の駆動モータは、共通のハウジング内にある。
開示される実施形態の1つまたは複数の態様によれば、付属デバイスは、各ワークピース保持ステーションの各動作経路に対する自由度の動作軸付属部を有している。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置はさらに、付属駆動モータと付属駆動出力ポートを動作可能に連結し、関節アームの関節アーム部分内に移動可能に取り付けられた少なくとも1つの運動学的トランスミッション部材を有する付属運動学的トランスミッションを含み、付属運動学的トランスミッションを介して、付属駆動モータによって生成される運動学的トランスミッション部材駆動トルクが、関節アームの運動学的動作のN数の自由度とは無関係に付属駆動出力ポートの駆動力をもたらすように付属駆動出力ポートに伝達される。
開示される実施形態の1つまたは複数の態様によれば、ワークピース搬送装置はさらに、グリッパ機構、基板アライナ、または電力生成機の1つまたは複数を備える付属デバイスを含み、付属駆動出力ポートは、付属デバイスを受承し、付属デバイスに動力を加えるように構成されている。
開示される実施形態の1つまたは複数の態様によれば、グリッパ機構は、基板の縁部を把持する、または基板の上面および背面を垂直に把持するように構成されている。
開示される実施形態の1つまたは複数の態様によれば、基板アライナは、付属部分の別のモータからのフィードバックを介して、欠落している基板を検出すること、基板厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている。
開示される実施形態の1つまたは複数の態様によれば、1つまたは複数の駆動モータは3つのモータを備え、3つのモータの各々は、関節アームの垂直または回転動作のそれぞれ1つを駆動するように構成されている。
前述の説明は、開示される実施形態の態様の単なる例示であることを理解されたい。開示される実施形態の態様から逸脱することなく、様々な代替および修正が当業者によって考案され得る。したがって、開示される実施形態の態様は、添付の特許請求の範囲内にある、すべてのそのような代替、修正、および変形を包含するように意図されている。さらに、異なる特徴が相互に異なる従属または独立請求項に列挙されているという事実だけでは、これらの特徴の組み合わせを有利に使用できないことを示しているとはいえず、そのような組み合わせは本発明の態様の範囲内に留まる。

Claims (25)

  1. 基板搬送アームであって、前記基板搬送アームが、
    エンドエフェクタを有するマルチリンクアームアセンブリと、
    前記基板搬送アームに連結された少なくとも1つのモータを有する駆動セクションであって、前記少なくとも1つのモータが、前記基板搬送アームの各運動学的動作をもたらすように構成された前記駆動セクションの運動学的部分を画定し、前記駆動セクションが、前記運動学的部分に隣接した付属部分を含み、前記付属部分が、前記少なくとも1つのモータとは異なり、別個である、別のモータを有し、前記付属部分の前記別のモータが、前記基板搬送アームの各運動学的動作とは無関係に、1つまたは複数の付属デバイスに動作可能に連結され、1つまたは複数の付属デバイスを駆動するように構成されている、駆動セクションと
    を備える、基板搬送アーム。
  2. 前記付属部分の前記別のモータが、少なくとも1つの運動学的トランスミッション連結部を介して前記1つまたは複数の付属デバイスを駆動する、請求項1記載の基板搬送アーム。
  3. 前記マルチリンクアームアセンブリが関節アームであり、前記少なくとも1つの運動学的トランスミッション連結部が、前記関節アームの少なくとも1つの関節ジョイントを横切って延在する、請求項2記載の基板搬送アーム。
  4. 前記1つまたは複数の付属デバイスが、グリッパ機構、ウエハアライナ、または電力生成機の1つまたは複数を備える、請求項1記載の基板搬送アーム。
  5. 前記グリッパ機構が、ウエハの縁部を把持する、または前記ウエハの上面および背面を垂直に把持するように構成されている、請求項4記載の基板搬送アーム。
  6. 前記ウエハアライナが、前記付属部分の前記別のモータからのフィードバックを介して、欠落しているウエハを検出すること、ウエハ厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている、請求項4記載の基板搬送アーム。
  7. 前記少なくとも1つのモータが、前記基板搬送アームにN自由度を提供するN個のモータである、請求項1記載の基板搬送アーム。
  8. 前記少なくとも1つのモータが共通のハウジング内にある、請求項1記載の基板搬送アーム。
  9. ワークピース搬送装置であって、前記ワークピース搬送装置が、
    フレームと、
    前記フレームに接続され、2つ以上の駆動モータを有するベース駆動セクションと、
    少なくとも1つのワークピース保持ステーションを上部に有する少なくとも1つのエンドエフェクタを有し、前記ベース駆動セクションに動作可能に連結された関節アームであって、前記2つ以上の駆動モータのうちのN個が、前記2つ以上の駆動モータのうちのN個によって画定されるN数の自由度を有する前記関節アームの各運動学的動作を同時に駆動する、およびもたらすように構成され、前記N数の自由度の少なくとも1つによって記述される動作経路に沿って前記フレームに対して前記ワークピース保持ステーションを変位させる、関節アームと、
    前記関節アームに接続され、前記ベース駆動セクションに動作可能に連結された付属デバイスであって、前記ベース駆動セクションが、前記2つ以上の駆動モータのうちの少なくとも1つの駆動モータを有し、前記少なくとも1つの駆動モータが、各ワークピース保持ステーションの各動作経路を記述するN数の自由度の各々を画定する前記2つ以上の駆動モータのうちのN個の各々とは異なり、別個である付属駆動モータであり、前記付属駆動モータが、前記付属デバイスに動力を加えるように前記付属デバイスに動作可能に連結されている、付属デバイスと
    を備える、ワークピース搬送装置。
  10. 前記2つ以上の駆動モータが共通のハウジング内にある、請求項9記載のワークピース搬送装置。
  11. 前記付属デバイスが、各ワークピース保持ステーションの各動作経路に対する自由度の動作軸付属部を有している、請求項9記載のワークピース搬送装置。
  12. 前記付属駆動モータと付属デバイスを動作可能に連結し、前記関節アームの関節アーム部分内に移動可能に取り付けられた少なくとも1つの運動学的トランスミッション部材を有している付属運動学的トランスミッションをさらに備え、前記付属運動学的トランスミッションを介して、前記付属駆動モータによって生成される運動学的トランスミッション部材駆動トルクが、前記関節アームの各運動学的動作の前記N数の自由度とは無関係に前記付属デバイスの駆動力をもたらすように前記付属デバイスに伝達される、請求項9記載のワークピース搬送装置。
  13. 前記付属デバイスが、グリッパ機構、ウエハアライナ、または電力生成機の1つまたは複数を備える、請求項9記載のワークピース搬送装置。
  14. 前記グリッパ機構が、ウエハの縁部を把持する、または前記ウエハの上面および背面を垂直に把持するように構成されている、請求項13記載のワークピース搬送装置。
  15. 前記ウエハアライナが、前記付属駆動モータからのフィードバックを介して、欠落しているウエハを検出すること、ウエハ厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている、請求項13記載のワークピース搬送装置。
  16. 前記2つ以上の駆動モータが3つの駆動モータを備え、前記3つの駆動モータの各々が、前記関節アームの垂直または回転動作のそれぞれ1つを駆動するように構成されている、請求項9記載のワークピース搬送装置。
  17. 前記ワークピース搬送装置が、処理装置用の少なくとも1つのツールを備える、請求項9記載の基板搬送装置。
  18. ワークピース搬送装置であって、前記ワークピース搬送装置が、
    フレームと、
    前記フレームに接続され、2つ以上の駆動モータを有するベース駆動セクションと、
    少なくとも1つのワークピース保持ステーションを上部に有する少なくとも1つのエンドエフェクタを有し、前記ベース駆動セクションに動作可能に連結された関節アームであって、前記2つ以上の駆動モータのうちのN個が、前記2つ以上の駆動モータのうちのN個によって画定されるN数の自由度を有する関節アームの各運動学的動作を同時に駆動する、およびもたらすように構成され、前記N数の自由度の少なくとも1つによって記述される動作経路に沿って前記フレームに対して前記ワークピース保持ステーションを変位させる、関節アームと、
    前記関節アームに接続され、前記ベース駆動セクションに動作可能に連結された付属駆動出力ポートであって、前記ベース駆動セクションが、前記2つ以上の駆動モータのうちの少なくとも1つの駆動モータを有し、前記少なくとも1つの駆動モータが、各ワークピース保持ステーションの各動作経路を記述するN数の自由度の各々を画定する前記2つ以上の駆動モータのうちのN個の各々とは異なり、別個である付属駆動モータであり、前記付属駆動モータが、前記付属駆動出力ポートに動力を提供するように前記付属駆動出力ポートに動作可能に連結されている、付属駆動出力ポートと
    を備える、ワークピース搬送装置。
  19. 前記2つ以上の駆動モータが共通のハウジング内にある、請求項18記載のワークピース搬送装置。
  20. 付属デバイスをさらに備え、前記付属デバイスが、各ワークピース保持ステーションの各動作経路に対する自由度の動作軸付属部を有している、請求項18記載のワークピース搬送装置。
  21. 前記付属駆動モータと付属駆動出力ポートを動作可能に連結し、前記関節アームの関節アーム部分内に移動可能に取り付けられた少なくとも1つの運動学的トランスミッション部材を有する付属運動学的トランスミッションをさらに備え、前記付属運動学的トランスミッションを介して、前記付属駆動モータによって生成される運動学的トランスミッション部材駆動トルクが、前記関節アームの各運動学的動作の前記N数の自由度とは無関係に前記付属駆動出力ポートの駆動力をもたらすように前記付属駆動出力ポートに伝達される、請求項18記載のワークピース搬送装置。
  22. グリッパ機構、ウエハアライナ、または電力生成機の1つまたは複数を備える付属デバイスをさらに備え、前記付属駆動出力ポートが、前記付属デバイスを受承し、前記付属デバイスに動力を加えるように構成されている、請求項18記載のワークピース搬送装置。
  23. 前記グリッパ機構が、ウエハの縁部を把持する、または前記ウエハの上面および背面を垂直に把持するように構成されている、請求項22記載のワークピース搬送装置。
  24. 前記ウエハアライナが、前記付属駆動モータからのフィードバックを介して、欠落しているウエハを検出すること、ウエハ厚さを検出すること、およびその場での動きをモニタリングすることのうちの1つまたは複数のために構成されている、請求項22記載のワークピース搬送装置。
  25. 前記1つまたは複数の駆動モータが3つのモータを備え、前記3つのモータの各々が、前記関節アームの垂直または回転動作のそれぞれ1つを駆動するように構成されている、請求項18記載のワークピース搬送装置。
JP2023131390A 2017-10-05 2023-08-10 独立型の付属フィードスルーを備えた基板搬送装置 Pending JP2023154038A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762568541P 2017-10-05 2017-10-05
US62/568,541 2017-10-05
US16/151,598 US11020852B2 (en) 2017-10-05 2018-10-04 Substrate transport apparatus with independent accessory feedthrough
US16/151,598 2018-10-04
JP2020519405A JP7382924B2 (ja) 2017-10-05 2018-10-05 独立型の付属フィードスルーを備えた基板搬送装置
PCT/US2018/054525 WO2019071077A1 (en) 2017-10-05 2018-10-05 SUBSTRATE TRANSPORT APPARATUS WITH INDEPENDENT ACCESSORY CROSSING

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020519405A Division JP7382924B2 (ja) 2017-10-05 2018-10-05 独立型の付属フィードスルーを備えた基板搬送装置

Publications (1)

Publication Number Publication Date
JP2023154038A true JP2023154038A (ja) 2023-10-18

Family

ID=65992830

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020519405A Active JP7382924B2 (ja) 2017-10-05 2018-10-05 独立型の付属フィードスルーを備えた基板搬送装置
JP2023131390A Pending JP2023154038A (ja) 2017-10-05 2023-08-10 独立型の付属フィードスルーを備えた基板搬送装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020519405A Active JP7382924B2 (ja) 2017-10-05 2018-10-05 独立型の付属フィードスルーを備えた基板搬送装置

Country Status (7)

Country Link
US (2) US11020852B2 (ja)
EP (1) EP3691836A1 (ja)
JP (2) JP7382924B2 (ja)
KR (1) KR102643087B1 (ja)
CN (2) CN117637564A (ja)
TW (1) TWI786199B (ja)
WO (1) WO2019071077A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWM600933U (zh) * 2020-05-07 2020-09-01 鈦昇科技股份有限公司 晶圓裝卸機
JP2023005881A (ja) * 2021-06-29 2023-01-18 東京エレクトロン株式会社 成膜装置、および成膜装置のクリーニング方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4181465A (en) * 1975-02-13 1980-01-01 Aktiebolaget Electrolux Apparatus utilizing magnetic means for transferring articles to and from predetermined positions
US4555217A (en) 1983-01-06 1985-11-26 Intelledex Incorporated Robot arm with split wrist motion
US4606695A (en) 1984-05-18 1986-08-19 Kurt Manufacturing Company, Inc. Multiple axis robot arm
US4897015A (en) 1987-05-15 1990-01-30 Ade Corporation Rotary to linear motion robot arm
US5007784A (en) 1989-01-20 1991-04-16 Genmark Automation Dual end effector robotic arm
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5624398A (en) 1996-02-08 1997-04-29 Symbiosis Corporation Endoscopic robotic surgical tools and methods
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US6121743A (en) 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
EP2362285B1 (en) 1997-09-19 2015-03-25 Massachusetts Institute of Technology Robotic apparatus
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6692485B1 (en) 1998-02-24 2004-02-17 Endovia Medical, Inc. Articulated apparatus for telemanipulator system
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
CN1238882C (zh) 1998-12-02 2006-01-25 纽波特公司 试片夹持机械手末端执行器
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6491330B1 (en) 1999-05-04 2002-12-10 Ade Corporation Edge gripping end effector wafer handling apparatus
JP2001096480A (ja) * 1999-09-28 2001-04-10 Tatsumo Kk 水平多関節型産業用ロボット
US6828772B1 (en) 2000-06-14 2004-12-07 Micron Technology, Inc. Rotating gripper wafer flipper
US6468022B1 (en) 2000-07-05 2002-10-22 Integrated Dynamics Engineering, Inc. Edge-gripping pre-aligner
US6435807B1 (en) 2000-12-14 2002-08-20 Genmark Automation Integrated edge gripper
US6623235B2 (en) 2001-04-11 2003-09-23 Pri Automation, Inc. Robot arm edge gripping device for handling substrates using two four-bar linkages
JP2004535681A (ja) * 2001-07-14 2004-11-25 ブルックス オートメーション インコーポレイテッド 統合マッピングセンサを備えた中心位置決め用両側エッジグリップ・エンドエフェクタ
JP4030298B2 (ja) * 2001-11-27 2008-01-09 東芝機械株式会社 産業用ロボット
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
US8573919B2 (en) 2005-07-11 2013-11-05 Brooks Automation, Inc. Substrate transport apparatus
US7712808B2 (en) 2005-09-29 2010-05-11 Brooks Automation, Inc. End effector with centering grip
US8551114B2 (en) 2006-11-06 2013-10-08 Human Robotics S.A. De C.V. Robotic surgical device
US8376428B2 (en) 2006-11-15 2013-02-19 Dynamic Micro System Semiconductor Equipment GmbH Integrated gripper for workpiece transfer
US20080213076A1 (en) 2007-03-02 2008-09-04 Stephen Hanson Edge grip end effector
US8752449B2 (en) 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
JP4697211B2 (ja) * 2007-10-18 2011-06-08 株式会社安川電機 基板アライメント機構を備えた搬送ロボット及びそれを備えた半導体製造装置または基板検査装置
JP5823742B2 (ja) 2010-07-02 2015-11-25 芝浦メカトロニクス株式会社 把持装置、搬送装置、処理装置、および電子デバイスの製造方法
JP2012074485A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd 基板処理装置及び基板搬送方法並びにその方法を実施するためのプログラムを記憶する記憶媒体
KR102427795B1 (ko) * 2010-11-10 2022-08-01 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치 및 기판 운송 장치
TWI614831B (zh) * 2011-03-11 2018-02-11 布魯克斯自動機械公司 基板處理裝置
KR101829397B1 (ko) 2011-09-16 2018-02-19 퍼시몬 테크놀로지스 코포레이션 낮은 가변성을 가진 로봇
US9076830B2 (en) * 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
JP2013136141A (ja) 2011-11-30 2013-07-11 Canon Inc 把持装置、ロボット装置及び把持装置の制御方法
US10137576B2 (en) * 2011-12-16 2018-11-27 Brooks Automation, Inc. Transport apparatus
TWI629743B (zh) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US9149936B2 (en) * 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US10777438B2 (en) * 2013-10-18 2020-09-15 Brooks Automation, Inc. Processing apparatus
US9352471B1 (en) 2015-01-21 2016-05-31 Applied Materials, Inc. Substrate gripper apparatus and methods
JP6511074B2 (ja) * 2015-02-13 2019-05-15 川崎重工業株式会社 基板搬送ロボットおよびその運転方法
US11691268B2 (en) * 2015-03-12 2023-07-04 Persimmon Technologies Corporation Robot having a variable transmission ratio
CA2930309C (en) * 2015-05-22 2019-02-26 Covidien Lp Surgical instruments and methods for performing tonsillectomy, adenoidectomy, and other surgical procedures
JP6692078B2 (ja) * 2016-02-19 2020-05-13 パナソニックIpマネジメント株式会社 電動装置
US10155309B1 (en) * 2017-11-16 2018-12-18 Lam Research Corporation Wafer handling robots with rotational joint encoders

Also Published As

Publication number Publication date
JP7382924B2 (ja) 2023-11-17
TW201922603A (zh) 2019-06-16
JP2020537339A (ja) 2020-12-17
TWI786199B (zh) 2022-12-11
KR102643087B1 (ko) 2024-03-05
CN111432986B (zh) 2024-03-08
WO2019071077A1 (en) 2019-04-11
US11020852B2 (en) 2021-06-01
EP3691836A1 (en) 2020-08-12
CN111432986A (zh) 2020-07-17
US20210291357A1 (en) 2021-09-23
US20190105770A1 (en) 2019-04-11
CN117637564A (zh) 2024-03-01
KR20200064120A (ko) 2020-06-05
WO2019071077A4 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
JP7263641B2 (ja) 基板搬送装置
JP6705750B2 (ja) 基板搬送装置
KR101519642B1 (ko) 불균등 링크 스카라 아암
TWI629743B (zh) 基材處理設備
JP6662559B2 (ja) 機械的スイッチ機構を利用する複数の可動アームを有する基板搬送装置
JP2023154038A (ja) 独立型の付属フィードスルーを備えた基板搬送装置
EP3510633A1 (en) Substrate processing apparatus
KR20160047556A (ko) 기판 이송 장치
CN115244674B (zh) 基板处理设备
US20240178032A1 (en) Substrate transport apparatus
EP3039714A1 (en) Substrate transport apparatus
TWI829700B (zh) 基板運送裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230810