KR20230037350A - Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법 - Google Patents

Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법 Download PDF

Info

Publication number
KR20230037350A
KR20230037350A KR1020210120547A KR20210120547A KR20230037350A KR 20230037350 A KR20230037350 A KR 20230037350A KR 1020210120547 A KR1020210120547 A KR 1020210120547A KR 20210120547 A KR20210120547 A KR 20210120547A KR 20230037350 A KR20230037350 A KR 20230037350A
Authority
KR
South Korea
Prior art keywords
wafer
chamber
efem
load lock
inner space
Prior art date
Application number
KR1020210120547A
Other languages
English (en)
Inventor
최진혁
서종휘
이공우
황범수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210120547A priority Critical patent/KR20230037350A/ko
Priority to US17/718,574 priority patent/US11804393B2/en
Priority to JP2022131814A priority patent/JP2023039920A/ja
Publication of KR20230037350A publication Critical patent/KR20230037350A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 개시의 웨이퍼 처리 장치는, EFEM, 웨이퍼 이송 챔버, 웨이퍼 처리 챔버, 및 웨이퍼 이송 암을 포함할 수 있다. 또한, EFEM은 웨이퍼를 수용하는 웨이퍼 캐리어를 보관하는 분위기 조절 챔버, 상기 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 상부 에어 공급 장치, 상기 분위기 조절 챔버의 하부에 배치된 EFEM 챔버, 상기 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치된 로드 락, 및 상기 웨이퍼 캐리어를 이송시키도록 구성된 EFEM 암을 포함한다.

Description

EFEM을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법{WAFER PROCESSING DEVICE COMPRISING EFEM AND METHOD FOR PROCESSING WAFER}
본 개시의 기술적 사상은 웨이퍼 처리 장치 및 웨이퍼 처리 방법에 관한 것으로, 더욱 상세하게는 EFEM(equipment front end module)을 포함하는 웨이퍼 처리 장치 및 상기 웨이퍼 처리 장치를 이용한 웨이퍼 처리 방법에 관한 것이다.
웨이퍼 처리 장치는 FOUP(front opening unified pod)과 같은 웨이퍼 캐리어로부터 웨이퍼를 반출하여 웨이퍼 처리 챔버에 투입하고, 상기 웨이퍼 처리 챔버의 내부에서 웨이퍼를 처리하고, 처리된 웨이퍼를 상기 웨이퍼 처리 챔버로부터 반출하여 상기 웨이퍼 캐리어에 다시 투입시킬 수 있다. 최근에는, 웨이퍼 처리 장치가 설치되는 공정 챔버 내에서의 웨이퍼 처리 효율을 개선시키기 위해, 상기 웨이퍼 처리 장치의 사이즈를 축소시키기 위한 연구가 활발한 실정이다.
본 개시의 기술적 사상이 해결하고자 하는 과제들 중 하나는 공정 챔버 내에 설치되는 웨이퍼 처리 장치의 사이즈를 감소시켜 단위 면적 당 웨이퍼 처리 효율을 개선시킬 수 있는 웨이퍼 처리 장치를 제공하는 것이다.
상기 목적을 달성하기 위해서, 본 개시의 예시적인 실시예로, 제1 EFEM으로서, 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어를 보관하는 제1 분위기 조절 챔버; 상기 제1 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제1 상부 에어 공급 장치; 상기 제1 분위기 조절 챔버의 하부에 배치된 제1 EFEM 챔버; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치된 제1 로드 락; 및 상기 제1 분위기 조절 챔버, 상기 제1 EFEM 챔버, 및 상기 제1 로드 락의 내부에서 상기 제1 웨이퍼 캐리어를 이송시키도록 구성된 제1 EFEM 암;을 포함하는 상기 제1 EFEM; 상기 제1 EFEM의 상기 제1 로드 락과 연결된 웨이퍼 이송 챔버; 상기 웨이퍼 이송 챔버와 연결되고, 상기 제1 웨이퍼의 처리 공간을 제공하는 복수의 웨이퍼 처리 챔버들; 및 상기 웨이퍼 이송 챔버의 내부에 배치되고, 상기 제1 웨이퍼를 상기 제1 로드 락으로부터 반출하여 상기 복수의 웨이퍼 처리 챔버들 중 적어도 어느 하나에 투입하도록 구성된 웨이퍼 이송 암;을 포함하는 웨이퍼 처리 장치를 제공한다.
본 개시의 예시적인 실시예로, 제1 EFEM으로서, 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어를 보관하는 제1 분위기 조절 챔버; 상기 제1 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제1 상부 에어 공급 장치; 상기 제1 분위기 조절 챔버의 하부에 배치된 제1 EFEM 챔버; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치된 제1 로드 락; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치되고, 상기 제1 웨이퍼를 정렬시키도록 구성된 제1 웨이퍼 얼라이너; 및 상기 제1 웨이퍼 캐리어를 상기 제1 분위기 조절 챔버로부터 반출하여 상기 제1 EFEM 챔버로 투입시키거나, 상기 제1 웨이퍼 캐리어로부터 상기 제1 웨이퍼를 반출하여 상기 제1 로드 락, 및 상기 제1 웨이퍼 얼라이너 중 적어도 어느 하나에 투입하도록 구성된 제1 EFEM 암;을 포함하는 상기 제1 EFEM; 상기 제1 EFEM의 상기 제1 로드 락과 연결된 웨이퍼 이송 챔버; 상기 웨이퍼 이송 챔버와 연결되고, 상기 제1 웨이퍼의 처리 공간을 제공하는 복수의 웨이퍼 처리 챔버들; 및 상기 웨이퍼 이송 챔버의 내부에 배치되고, 상기 제1 웨이퍼를 상기 제1 로드 락으로부터 반출하여 상기 복수의 웨이퍼 처리 챔버들 중 적어도 어느 하나에 투입하도록 구성된 웨이퍼 이송 암;을 포함하는 웨이퍼 처리 장치를 제공한다.
본 개시의 예시적인 실시예로, EFEM 챔버의 상부에 배치된 분위기 조절 챔버의 내부에 웨이퍼를 수용한 웨이퍼 캐리어를 투입시키는 단계; 상기 분위기 조절 챔버의 내부 공간을 차단하는 단계; 상기 분위기 조절 챔버의 상기 내부 공간의 분위기를 상기 EFEM 챔버의 내부 공간의 분위기와 동일하도록 형성하는 단계; 상기 분위기 조절 챔버의 상기 내부 공간 및 상기 EFEM 챔버의 상기 내부 공간을 연결시키는 단계; 및 상기 EFEM 챔버의 내부에서 이동하는 EFEM 암을 통해 상기 웨이퍼 캐리어를 상기 분위기 조절 챔버로부터 반출하여 상기 EFEM 챔버로 투입시키는 단계;를 포함하는 웨이퍼 처리 방법을 제공한다.
본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치가 포함하는 EFEM의 로드 락은 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 이에 따라, EFEM의 사이즈가 축소될 수 있고, 상기 EFEM을 포함하는 상기 웨이퍼 처리 장치의 단위 면적당 웨이퍼 처리 효율이 개선될 수 있다.
또한, 본 개시의 예시적 실시예에 따른 EFEM이 웨이퍼를 수용하는 웨이퍼 캐리어를 통째로 핸들링하도록 구성된 웨이퍼 캐리어 이송 암을 포함할 수 있어서, 상기 EFEM을 포함하는 웨이퍼 처리 장치의 웨이퍼 처리 효율이 개선될 수 있다.
도 1은 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치의 평면도이다.
도 2는 도 1의 II-II'로 표시된 영역의 절단 단면도이다.
도 3은 도 1의 III-III'로 표시된 영역의 절단 단면도이다.
도 4는 비교 예에 따른 웨이퍼 처리 장치의 단면도이다.
도 5a 내지 도 5h는 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법의 각 단계들을 보여주는 도면들이다.
도 6은 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치의 단면도이다.
도 7은 도 6의 VII-VII'로 표시된 영역의 절단 단면도이다.
도 8은 도 6의 VIII-VIII'로 표시된 영역의 절단 단면도이다.
도 9는 본 개시의 예시적 실시예에 따른 제1 EFEM의 단면도이다.
도 10a 내지 도 10k는 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법의 각 단계들을 보여주는 도면들이다.
이하, 첨부한 도면들을 참조하여 본 개시의 실시예들에 대해 상세히 설명한다.
도 1은 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)의 평면도이다. 또한, 도 2는 도 1의 II-II'로 표시된 영역의 절단 단면도이고, 도 3은 도 1의 III-III'로 표시된 영역의 절단 단면도이다.
도 1 내지 도 3을 함께 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)는 웨이퍼 캐리어 이송 장치(30), 제1 EFEM(equipment front end module, 10), 제2 EFEM(20), 웨이퍼 이송 챔버(40), 웨이퍼 얼라이너(45), 웨이퍼 이송 암(50), 및 웨이퍼 처리 챔버(60) 등을 포함할 수 있다.
이하에서, 수평 방향은 제1 EFEM(10) 및 제2 EFEM(20)의 바닥 면이 연장된 방향과 평행한 방향(예를 들어, X-Y 평면이 연장된 방향)으로 정의될 수 있다. 또한, 수직 방향은 제1 EFEM(10) 및 제2 EFEM(20)의 바닥 면이 연장된 방향과 수직인 방향(예를 들어, Z 방향)으로 정의될 수 있다.
웨이퍼 캐리어 이송 장치(30)는 제1 EFEM(10) 및 제2 EFEM(20)의 상부에 배치되고, 웨이퍼 캐리어(C)를 이송시키도록 구성될 수 있다. 예시적인 실시예에서, 웨이퍼 캐리어 이송 장치(30)가 이송하는 웨이퍼 캐리어(C)는 복수의 웨이퍼들을 수용하는 FOUP(front opening unified pod)을 포함할 수 있다. 또한, 웨이퍼 캐리어(C)는 복수의 웨이퍼들이 수용되는 공간을 개폐하도록 구성된 캐리어 도어(door)를 포함할 수 있다.
구체적으로, 웨이퍼 캐리어 이송 장치(30)는 웨이퍼 처리 공정의 수행을 위해 웨이퍼 캐리어(C)를 제1 EFEM(10) 및 제2 EFEM(20)으로 이송시킬 수 있다. 또한, 웨이퍼 캐리어 이송 장치(30)는 웨이퍼 처리 공정이 수행된 웨이퍼를 수용하는 웨이퍼 캐리어(C)를 제1 EFEM(10) 및 제2 EFEM(20)으로부터 전달받아 후속 공정을 위한 챔버로 상기 웨이퍼 캐리어(C)를 이송시킬 수 있다.
웨이퍼 캐리어 이송 장치(30)는 웨이퍼 캐리어 이송 라인(310), 및 웨이퍼 캐리어 이송 로봇(320)을 포함할 수 있다. 웨이퍼 캐리어 이송 라인(310)은 제1 EFEM(10) 및 제2 EFEM(20)의 상부에 배치되고, 웨이퍼 캐리어 이송 로봇(320)의 수평 방향의 이동 경로를 제공할 수 있다. 예를 들어, 웨이퍼 캐리어 이송 라인(310)은 제1 EFEM(10) 및 제2 EFEM(20)의 상부에서 수평 방향(예를 들어, X-Y 평면이 연장된 방향)으로 연장될 수 있다.
웨이퍼 캐리어 이송 로봇(320)은 웨이퍼 캐리어 이송 라인(310)이 제공하는 이송 경로를 통해 웨이퍼 캐리어(C)를 수평 방향으로 이동시킬 수 있다. 또한, 웨이퍼 캐리어 이송 로봇(320)은 수직 방향으로 이동하여 웨이퍼 캐리어(C)를 제1 EFEM(10)의 제1 분위기 조절 챔버(110) 및 제2 EFEM(20)의 제2 분위기 조절 챔버(210)로부터 픽업하거나, 기존에 보유한 웨이퍼 캐리어(C)를 제1 EFEM(10)의 제1 분위기 조절 챔버(110) 및 제2 EFEM(20)의 제2 분위기 조절 챔버(210)에 제공하도록 구성될 수 있다. 예시적인 실시예에서, 웨이퍼 캐리어 이송 로봇(320)은 웨이퍼 캐리어(C)를 파지하도록 구성된 로봇 암을 포함할 수 있다.
제1 EFEM(10)은 웨이퍼 캐리어 이송 장치(30)로부터 전달받은 제1 웨이퍼 캐리어(C1)를 이동시키고, 상기 제1 웨이퍼 캐리어(C1) 내부의 제1 웨이퍼를 맵핑(mapping)하고, 상기 제1 웨이퍼 캐리어(C1)를 제1 로드 락(150)으로 투입하고, 상기 로드 락(150)의 공간의 압력을 진공 압으로 형성하도록 구성될 수 있다.
제1 EFEM(10)은 제1 분위기 조절 챔버(110), 제1 분위기 조절 챔버 도어(115), 제1 상부 에어 공급 장치(120), 제1 EFEM 챔버(130), 제1 EFEM 챔버 도어(135), 제1 하부 에어 공급 장치(140), 제1 로드 락(150), 제1 로드 락 도어(155), 및 제1 EFEM 암(160) 등을 포함할 수 있다.
제1 분위기 조절 챔버(110)는 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어(C1)를 일시적으로 수용하도록 구성된 챔버일 수 있다. 예시적인 실시예에서, 제1 분위기 조절 챔버(110)의 내부 공간은 제1 웨이퍼 캐리어(C1)를 수용할 수 있다. 또한, 제1 분위기 조절 챔버(110)의 내부 공간의 분위기(예를 들어, 습도, 온도, 및 압력 등)는 제어될 수 있다. 예를 들어, 제1 분위기 조절 챔버(110)의 내부 공간의 습도는 제1 상부 에어 공급 장치(120)에 의해 제어될 수 있다.
예시적인 실시예에서, 제1 분위기 조절 챔버(110)의 내부에 제1 웨이퍼 캐리어(C1)가 투입된 이후, 상기 제1 분위기 조절 챔버(110)의 내부 공간의 분위기는 제1 EFEM 챔버(130)의 내부 공간의 분위기와 실질적으로 동일하도록 제어될 수 있다. 예를 들어, 제1 분위기 조절 챔버(110)의 내부에 제1 웨이퍼 캐리어(C1)가 투입된 이후, 상기 1 분위기 조절 챔버(110)의 내부 공간의 습도, 온도, 및 압력 중 적어도 어느 하나는 제1 EFEM 챔버(130)의 내부 공간의 습도, 온도, 및 압력과 실질적으로 동일하도록 제어될 수 있다.
제1 분위기 조절 챔버 도어(115)는 제1 분위기 조절 챔버(110)의 내부 공간을 개방하거나 차단하도록 구성될 수 있다. 예를 들어, 제1 분위기 조절 챔버 도어(115)는 제1 분위기 조절 챔버(110)의 상부에 배치될 수 있다.
예시적인 실시예에서, 제1 분위기 조절 챔버 도어(115)가 제1 분위기 조절 챔버(110)의 내부 공간을 개방한 경우, 웨이퍼 캐리어 이송 장치(30)는 제1 웨이퍼 캐리어(C1)를 상기 제1 분위기 조절 챔버(110)의 내부 공간에 투입할 수 있다.
또한, 제1 분위기 조절 챔버(110)의 내부 공간에 제1 웨이퍼 캐리어(C1)가 투입된 이후, 상기 제1 분위기 조절 챔버 도어(115)는 제1 분위기 조절 챔버(110)의 내부 공간을 차단할 수 있다.
제1 상부 에어 공급 장치(120)는 제1 분위기 조절 챔버(110)의 내부에 공기를 공급하도록 구성될 수 있다. 제1 상부 에어 공급 장치(120)가 제1 분위기 조절 챔버(110)의 내부에 공기를 공급함에 따라, 상기 제1 분위기 조절 챔버(110)의 내부의 습도가 제어될 수 있다.
예시적인 실시예에서, 제1 상부 에어 공급 장치(120)가 공급하는 공기는 클린 드라이 에어(clean dry air, CDA) 및 질소(N2) 중 적어도 어느 하나를 포함할 수 있다. 또한, 제1 상부 에어 공급 장치(120)는 제1 분위기 조절 챔버(110)의 내부에 공기를 공급하도록 구성된 팬 및 노즐 중 적어도 어느 하나를 포함할 수 있다. 예를 들어, 제1 상부 에어 공급 장치(120)는 팬(fan), 흡입 덕트, 배기 덕트, 및 필터 등으로 구성된 팬 필터 유닛(fan-filter unit, FFU)을 포함할 수 있다.
예시적인 실시예에서, 제1 상부 에어 공급 장치(120)는 제1 EFEM 챔버(130)의 공기를 제1 분위기 조절 챔버(110)의 내부에 공급하도록 구성될 수 있다. 이에 따라, 제1 분위기 조절 챔버(110)의 내부의 습도는 제1 EFEM 챔버(130)의 내부의 습도와 실질적으로 동일하도록 제어될 수 있다. 다만 이에 한정되지 않고, 제1 상부 에어 공급 장치(120)는 제1 EFEM(10)의 외부의 공기를 제1 분위기 조절 챔버(110)의 내부에 공급하도록 구성될 수도 있다.
제1 EFEM 챔버(130)는 제1 분위기 조절 챔버(110)의 하부에 배치될 수 있다. 또한, 제1 EFEM 챔버(130)는 제1 분위기 조절 챔버(110)로부터 전달받은 제1 웨이퍼 캐리어(C1)의 이동 경로를 제공할 수 있다. 예시적인 실시예에서, 제1 EFEM 챔버(130)는 제1 EFEM 암(160)이 배치되는 공간을 제공할 수 있다.
제1 EFEM 챔버 도어(135)는 제1 EFEM 챔버(130)의 내부 공간을 개방하거나 차단하도록 구성될 수 있다. 예를 들어, 제1 EFEM 챔버 도어(135)는 제1 EFEM 챔버(130) 및 제1 분위기 조절 챔버(110) 사이에 배치될 수 있다.
예시적인 실시예에서, 제1 분위기 조절 챔버(110)의 내부 공간의 분위기가 제1 EFEM 챔버(130)의 내부 공간의 분위기와 실질적으로 동일하게 조절된 이후, 제1 EFEM 챔버 도어(135)가 개방되어 제1 분위기 조절 챔버(110)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간이 연결될 수 있다. 또한, 제1 EFEM 챔버 도어(135)가 개방된 경우, 제1 EFEM 암(160)은 제1 분위기 조절 챔버(110) 내부 공간에 배치된 제1 웨이퍼 캐리어(C1)를 제1 EFEM 챔버(130)의 내부 공간으로 이송시킬 수 있다.
또한, 제1 EFEM 챔버(130)의 내부 공간에 제1 웨이퍼 캐리어(C1)가 투입된 이후, 상기 제1 EFEM 챔버 도어(135)는 닫힐 수 있다. 즉, 제1 EFEM 챔버 도어(135)는 제1 분위기 조절 챔버(110)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간을 구분 시킬 수 있다.
제1 하부 에어 공급 장치(140)는 제1 EFEM 챔버(130)의 내부에 공기를 공급하도록 구성될 수 있다. 제1 하부 에어 공급 장치(140)가 제1 EFEM 챔버(130)의 내부에 공기를 공급함에 따라, 상기 제1 EFEM 챔버(130)의 내부 공간의 습도가 제어될 수 있고, 상기 제1 EFEM 챔버(130)의 내부 공간이 정화될 수 있다.
예시적인 실시예에서, 제1 하부 에어 공급 장치(140)가 공급하는 공기는 클린 드라이 에어(CDA) 및 질소(N2) 중 적어도 어느 하나를 포함할 수 있다. 또한, 제1 하부 에어 공급 장치(140)는 제1 EFEM 챔버(130)의 내부 공간에 공기를 공급하도록 구성된 팬 및 노즐 중 적어도 어느 하나를 포함할 수 있다. 예를 들어, 제1 하부 에어 공급 장치(140)는 팬, 흡입 덕트, 배기 덕트, 및 필터 등으로 구성된 팬 필터 유닛(FFU)을 포함할 수 있다.
제1 로드 락(150)은 제1 EFEM 챔버(130)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제1 로드 락(150)은 제1 EFEM 챔버(130)의 내부 공간에 배치될 수 있다.
예시적인 실시예에서, 제1 로드 락(150)은 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어(C1)가 배치되는 공간을 제공하고, 제1 EFEM 챔버(130) 및 웨이퍼 이송 챔버(40)를 공간적으로 연결시킬 수 있다. 예를 들어, 제1 로드 락(150)은 캐리어 도어가 개방된 상태의 제1 웨이퍼 캐리어(C1)를 수용할 수 있다.
제1 로드 락 도어(155)는 제1 EFEM 챔버(130) 및 제1 로드 락(150) 사이에 배치되고, 제1 로드 락(150)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간을 연결시키거나, 제1 로드 락(150)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간을 구분시키도록 구성될 수 있다.
제1 로드 락(150)은 제1 웨이퍼 캐리어(C1)가 수용된 공간의 압력을 제어할 수 있다. 예시적인 실시예에서, 제1 로드 락(150)은 제1 웨이퍼 캐리어(C1)가 수용된 내부 공간의 압력이 웨이퍼 이송 챔버(40), 및 웨이퍼 처리 챔버(60)와 실질적으로 동일해지도록 상기 내부 공간의 압력을 제어할 수 있다. 예를 들어, 제1 로드 락(150)은 제1 웨이퍼 캐리어(C1)가 수용된 공간의 압력을 진공압으로 제어할 수 있다.
예시적인 실시예에서, 제1 로드 락 도어(155)가 제1 로드 락(150)의 내부 공간을 개방한 이후, 제1 EFEM 암(160)은 제1 EFEM 챔버(130)에 배치된 제1 웨이퍼 캐리어(C1)를 제1 로드 락(150)의 내부 공간에 투입할 수 있다. 또한, 제1 웨이퍼 캐리어(C1)가 제1 로드 락(150)의 내부 공간에 투입된 이후, 제1 로드 락 도어(155)는 제1 로드 락(150)의 내부 공간을 차단할 수 있다. 제1 로드 락(150)의 내부 공간이 차단된 이후, 상기 제1 로드 락(150)의 내부 공간의 압력이 진공압으로 제어될 수 있다.
제1 EFEM 암(160)은 제1 EFEM 챔버(130)의 내부에서 이동하여, 제1 웨이퍼 캐리어(C1)를 이송시키도록 구성될 수 있다. 예시적인 실시예에서, 제1 EFEM 암(160)은 제1 분위기 조절 챔버(110)로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 EFEM 챔버(130)에 투입하거나, 상기 제1 EFEM 챔버(130)로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 로드 락(150)에 투입할 수 있다.
또한, 제1 EFEM 암(160)은 제1 로드 락(150)으로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 EFEM 챔버(130)에 투입하거나, 상기 제1 EFEM 챔버(130)로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 분위기 조절 챔버(110)에 투입할 수 있다.
예시적인 실시예에서, 제1 EFEM(10)은 제1 로드 락(150) 내부의 압력을 진공압으로 조절하도록 구성된 진공 펌프(미도시)를 더 포함할 수 있다.
또한, 예시적인 실시예에서, 제1 EFEM(10)은 제1 웨이퍼 캐리어(C1) 내의 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하도록 구성된 제1 웨이퍼 맵핑 장치를 포함할 수 있다. 예를 들어, 제1 웨이퍼 맵핑 장치는 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하는 센서를 포함할 수 있다.
본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)의 제1 EFEM(10)의 제1 로드 락(150)은 제1 EFEM 챔버(130)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 이에 따라, 제1 EFEM(10)의 사이즈가 축소될 수 있고, 상기 제1 EFEM(10)을 포함하는 웨이퍼 처리 장치(1)의 단위 면적당 웨이퍼 처리 효율이 개선될 수 있다.
제2 EFEM(20)은 제1 EFEM(10)과 수평 방향으로 이격되도록 배치될 수 있다. 예를 들어, 제1 EFEM(10)이 웨이퍼 이송 챔버(40)의 일 측면에 배치된 경우, 제2 EFEM(20)은 웨이퍼 이송 챔버(40)의 상기 일 측면과 반대되는 타 측면에 배치될 수 있다.
제2 EFEM(20)은 제2 분위기 조절 챔버(210), 제2 분위기 조절 챔버 도어(215), 제2 상부 에어 공급 장치(220), 제2 EFEM 챔버(230), 제2 EFEM 챔버 도어(235), 제2 하부 에어 공급 장치(240), 제2 로드 락(250), 제2 로드 락 도어(255), 및 제2 EFEM 암(260) 등을 포함할 수 있다.
이하에서는, 제1 EFEM(10) 및 제2 EFEM(20)의 중복된 내용은 생략하고, 차이점을 위주로 설명하도록 한다.
제2 분위기 조절 챔버(210)는 제2 웨이퍼를 수용하는 제2 웨이퍼 캐리어(C2)를 일시적으로 수용하도록 구성된 챔버일 수 있다. 예시적인 실시예에서, 제2 분위기 조절 챔버(210)의 내부 공간은 제2 웨이퍼 캐리어(C2)를 수용할 수 있다. 또한, 제2 분위기 조절 챔버(210)의 내부 공간의 분위기(예를 들어, 습도, 온도, 및 압력 등)는 제어될 수 있다. 예를 들어, 제2 분위기 조절 챔버(210)의 내부 공간의 습도는 제2 상부 에어 공급 장치(220)에 의해 제어될 수 있다.
예시적인 실시예에서, 제2 분위기 조절 챔버(210)의 내부에 제2 웨이퍼 캐리어(C2)가 투입된 이후, 상기 제2 분위기 조절 챔버(210)의 내부 공간의 분위기는 제2 EFEM 챔버(230)의 내부 공간의 분위기와 실질적으로 동일하도록 제어될 수 있다. 예를 들어, 제2 분위기 조절 챔버(210)의 내부에 제2 웨이퍼 캐리어(C2)가 투입된 이후, 상기 제2 분위기 조절 챔버(210)의 내부 공간의 습도, 온도, 및 압력 중 적어도 어느 하나는 제2 EFEM 챔버(230)의 내부 공간의 습도, 온도, 및 압력과 실질적으로 동일하도록 제어될 수 있다.
제2 분위기 조절 챔버 도어(215)는 제2 분위기 조절 챔버(210)의 내부 공간을 개방하거나 차단하도록 구성될 수 있다. 예를 들어, 제2 분위기 조절 챔버 도어(215)는 제2 분위기 조절 챔버(210)의 상부에 배치될 수 있다.
예시적인 실시예에서, 제2 분위기 조절 챔버 도어(215)가 제2 분위기 조절 챔버(210)의 내부 공간을 개방한 경우, 웨이퍼 캐리어 이송 장치(30)는 제2 웨이퍼 캐리어(C2)를 상기 제2 분위기 조절 챔버(210)의 내부 공간에 투입할 수 있다.
또한, 제2 분위기 조절 챔버(210)의 내부 공간에 제2 웨이퍼 캐리어(C2)가 투입된 이후, 상기 제2 분위기 조절 챔버 도어(215)는 제2 분위기 조절 챔버(210)의 내부 공간을 차단할 수 있다.
제2 상부 에어 공급 장치(220)는 제2 분위기 조절 챔버(210)의 내부에 공기를 공급하도록 구성될 수 있다. 제2 상부 에어 공급 장치(220)가 제2 분위기 조절 챔버(210)의 내부에 공기를 공급함에 따라, 상기 제2 분위기 조절 챔버(210)의 내부의 습도가 제어될 수 있다.
예시적인 실시예에서, 제2 상부 에어 공급 장치(220)가 공급하는 공기는 클린 드라이 에어(CDA) 및 질소(N2) 중 적어도 어느 하나를 포함할 수 있다. 또한, 제2 상부 에어 공급 장치(220)는 제2 분위기 조절 챔버(210)의 내부에 공기를 공급하도록 구성된 팬 및 노즐 중 적어도 어느 하나를 포함할 수 있다. 예를 들어, 제2 상부 에어 공급 장치(220)는 팬(fan), 흡입 덕트, 배기 덕트, 및 필터 등으로 구성된 팬 필터 유닛(FFU)을 포함할 수 있다.
예시적인 실시예에서, 제2 상부 에어 공급 장치(220)는 제2 EFEM 챔버(230)의 공기를 제2 분위기 조절 챔버(210)의 내부에 공급하도록 구성될 수 있다. 이에 따라, 제2 분위기 조절 챔버(210)의 내부의 습도는 제2 EFEM 챔버(230)의 내부의 습도와 실질적으로 동일하도록 제어될 수 있다. 다만 이에 한정되지 않고, 제2 상부 에어 공급 장치(220)는 제2 EFEM(20)의 외부의 공기를 제2 분위기 조절 챔버(210)의 내부에 공급하도록 구성될 수도 있다.
제2 EFEM 챔버(230)는 제2 분위기 조절 챔버(210)의 하부에 배치될 수 있다. 또한, 제2 EFEM 챔버(230)는 제2 분위기 조절 챔버(210)로부터 전달받은 제2 웨이퍼 캐리어(C2)의 이동 경로를 제공할 수 있다. 예시적인 실시예에서, 제2 EFEM 챔버(230)는 제2 EFEM 암(260)이 배치되는 공간을 제공할 수 있다.
제2 EFEM 챔버 도어(235)는 제2 EFEM 챔버(230)의 내부 공간을 개방하거나 차단하도록 구성될 수 있다. 예를 들어, 제2 EFEM 챔버 도어(235)는 제2 EFEM 챔버(230) 및 제2 분위기 조절 챔버(210) 사이에 배치될 수 있다.
예시적인 실시예에서, 제2 분위기 조절 챔버(210)의 내부 공간의 분위기가 제2 EFEM 챔버(230)의 내부 공간의 분위기와 실질적으로 동일하게 조절된 이후, 제2 EFEM 챔버 도어(235)가 개방되어 제2 분위기 조절 챔버(210)의 내부 공간 및 제2 EFEM 챔버(230)의 내부 공간이 연결될 수 있다. 또한, 제2 EFEM 챔버 도어(235)가 개방된 경우, 제2 EFEM 암(260)은 제2 분위기 조절 챔버(210) 내부 공간에 배치된 제2 웨이퍼 캐리어(C2)를 제2 EFEM 챔버(230)의 내부 공간으로 이송시킬 수 있다.
또한, 제2 EFEM 챔버(230)의 내부 공간에 제2 웨이퍼 캐리어(C2)가 투입된 이후, 상기 제2 EFEM 챔버 도어(235)는 닫힐 수 있다. 즉, 제2 EFEM 챔버 도어(235)는 제2 분위기 조절 챔버(210)의 내부 공간 및 제2 EFEM 챔버(230)의 내부 공간을 구분 시킬 수 있다.
제2 하부 에어 공급 장치(240)는 제2 EFEM 챔버(230)의 내부에 공기를 공급하도록 구성될 수 있다. 제2 하부 에어 공급 장치(240)가 제2 EFEM 챔버(230)의 내부에 공기를 공급함에 따라, 상기 제2 EFEM 챔버(230)의 내부 공간의 습도가 제어될 수 있고, 상기 제2 EFEM 챔버(230)의 내부 공간이 정화될 수 있다.
예시적인 실시예에서, 제2 하부 에어 공급 장치(240)가 공급하는 공기는 클린 드라이 에어(CDA) 및 질소(N2) 중 적어도 어느 하나를 포함할 수 있다. 또한, 제2 하부 에어 공급 장치(240)는 제2 EFEM 챔버(230)의 내부 공간에 공기를 공급하도록 구성된 팬 및 노즐 중 적어도 어느 하나를 포함할 수 있다. 예를 들어, 제2 하부 에어 공급 장치(240)는 팬, 흡입 덕트, 배기 덕트, 및 필터 등으로 구성된 팬 필터 유닛(FFU)을 포함할 수 있다.
제2 로드 락(250)은 제2 EFEM 챔버(230)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제2 로드 락(250)은 제2 EFEM 챔버(230)의 내부 공간에 배치될 수 있다.
예시적인 실시예에서, 제2 로드 락(250)은 제2 웨이퍼를 수용하는 제2 웨이퍼 캐리어(C2)가 배치되는 공간을 제공하고, 제2 EFEM 챔버(230) 및 웨이퍼 이송 챔버(40)를 공간적으로 연결시킬 수 있다. 예를 들어, 제2 로드 락(250)은 캐리어 도어가 개방된 상태의 제2 웨이퍼 캐리어(C2)를 수용할 수 있다.
제2 로드 락 도어(255)는 제2 EFEM 챔버(230) 및 제2 로드 락(250) 사이에 배치되고, 제2 로드 락(250)의 내부 공간 및 제2 EFEM 챔버(230)의 내부 공간을 연결시키거나, 제2 로드 락(250)의 내부 공간 및 제2 EFEM 챔버(230)의 내부 공간을 구분시키도록 구성될 수 있다.
제2 로드 락(250)은 제2 웨이퍼 캐리어(C2)가 수용된 공간의 압력을 제어할 수 있다. 예시적인 실시예에서, 제2 로드 락(250)은 제2 웨이퍼 캐리어(C2)가 수용된 내부 공간의 압력이 웨이퍼 이송 챔버(40), 및 웨이퍼 처리 챔버(60)와 실질적으로 동일해지도록 상기 내부 공간의 압력을 제어할 수 있다. 예를 들어, 제2 로드 락(250)은 제2 웨이퍼 캐리어(C2)가 수용된 공간의 압력을 진공압으로 제어할 수 있다.
예시적인 실시예에서, 제2 로드 락 도어(255)가 제2 로드 락(250)의 내부 공간을 개방한 이후, 제2 EFEM 암(260)은 제2 EFEM 챔버(230)에 배치된 제2 웨이퍼 캐리어(C2)를 제2 로드 락(250)의 내부 공간에 투입할 수 있다. 또한, 제2 웨이퍼 캐리어(C2)가 제2 로드 락(250)의 내부 공간에 투입된 이후, 제2 로드 락 도어(255)는 제2 로드 락(250)의 내부 공간을 차단할 수 있다. 제2 로드 락(250)의 내부 공간이 차단된 이후, 상기 제2 로드 락(250)의 내부 공간의 압력이 진공압으로 제어될 수 있다.
제2 EFEM 암(260)은 제2 EFEM 챔버(230)의 내부에서 이동하여, 제2 웨이퍼 캐리어(C2)를 이송시키도록 구성될 수 있다. 예시적인 실시예에서, 제2 EFEM 암(260)은 제2 분위기 조절 챔버(210)로부터 제2 웨이퍼 캐리어(C2)를 반출하여 제2 EFEM 챔버(230)에 투입하거나, 상기 제2 EFEM 챔버(230)로부터 제2 웨이퍼 캐리어(C2)를 반출하여 제2 로드 락(250)에 투입할 수 있다.
또한, 제2 EFEM 암(260)은 제2 로드 락(250)으로부터 제2 웨이퍼 캐리어(C2)를 반출하여 제2 EFEM 챔버(230)에 투입하거나, 상기 제2 EFEM 챔버(230)로부터 제2 웨이퍼 캐리어(C2)를 반출하여 제2 분위기 조절 챔버(210)에 투입할 수 있다.
예시적인 실시예에서, 제2 EFEM(20)은 제2 로드 락(250) 내부의 압력을 진공압으로 조절하도록 구성된 진공 펌프(미도시)를 더 포함할 수 있다.
또한, 예시적인 실시예에서, 제2 EFEM(20)은 제2 웨이퍼 캐리어(C2) 내의 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하도록 구성된 제2 웨이퍼 맵핑 장치를 포함할 수 있다. 예를 들어, 제2 웨이퍼 맵핑 장치는 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하는 센서를 포함할 수 있다.
본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)의 제2 EFEM(20)의 제2 로드 락(250)은 제2 EFEM 챔버(230)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 이에 따라, 제2 EFEM(20)의 사이즈가 축소될 수 있고, 상기 제2 EFEM(20)을 포함하는 웨이퍼 처리 장치(1)의 단위 면적당 웨이퍼 처리 효율이 개선될 수 있다.
웨이퍼 이송 챔버(40)는 제1 웨이퍼 및 제2 웨이퍼의 이송 공간을 제공할 수 있다. 예를 들어, 제1 웨이퍼 및 제2 웨이퍼 중 적어도 어느 하나는 웨이퍼 이송 암(50)을 통해 제1 로드 락(150)에 배치된 제1 웨이퍼 캐리어(C1) 및 제2 로드 락(250)에 배치된 제2 웨이퍼 캐리어(C2)로부터 반출되어, 복수의 웨이퍼 처리 챔버들(60) 중 적어도 어느 하나에 투입될 수 있다.
예시적인 실시예에서, 웨이퍼 이송 챔버(40)의 일부는 제1 EFEM(10)의 제1 로드 락(150) 및 제2 EFEM(20)의 제2 로드 락(250)과 연결될 수 있고, 웨이퍼 이송 챔버(40)의 다른 일부는 복수의 웨이퍼 처리 챔버들(60)과 연결될 수 있다.
예시적인 실시예에서, 웨이퍼 이송 챔버(40)는 제1 EFEM(10) 및 제2 EFEM(20) 사이에 배치될 수 있다. 또한, 웨이퍼 이송 챔버(40)의 내부의 압력은 복수의 웨이퍼 처리 챔버들(60)의 압력과 실질적으로 동일하도록 제어될 수 있다. 예를 들어, 웨이퍼 이송 챔버(40)의 내부의 압력은 진공압으로 제어될 수 있다.
웨이퍼 얼라이너(45)는 웨이퍼 이송 챔버(40)의 전면에 탑재되고, 제1 웨이퍼 및 제2 웨이퍼 중 적어도 어느 하나를 정렬시키도록 구성될 수 있다. 예시적인 실시예에서, 제1 EFEM(10) 및 제2 EFEM(20)이 웨이퍼 이송 챔버(40)의 측면들에 탑재된 경우, 웨이퍼 얼라이너(45)는 상기 제1 EFEM(10) 및 상기 제2 EFEM(20) 사이에 배치되도록 상기 웨이퍼 이송 챔버(40)의 전면에 탑재될 수 있다.
예시적인 실시예에서, 웨이퍼 얼라이너(45)는 제1 웨이퍼 및 제2 웨이퍼가 미리 설정된 방향을 향하도록 상기 제1 웨이퍼 및 상기 제2 웨이퍼를 회전시키도록 구성된 스피너(spinner)를 포함할 수 있다. 예를 들어, 웨이퍼 얼라이너(45)는 제1 웨이퍼 및 제2 웨이퍼에 형성된 노치(notch)를 센싱하고, 센싱된 노치의 위치에 기반하여 제1 웨이퍼 및 제2 웨이퍼를 회전시키도록 구성될 수 있다.
또한, 웨이퍼 얼라이너(45)는 수평 방향으로 공기를 공급하도록 구성된 공기 공급 장치를 더 포함하여, 제1 웨이퍼 및 제2 웨이퍼의 표면 상에 파티클이 부착되는 현상을 감소시킬 수 있다.
웨이퍼 이송 암(50)은 웨이퍼 이송 챔버(40)의 내부에서 수평 방향(예를 들어, X 방향)으로 이동하고, 제1 EFEM(10)의 제1 로드 락(150) 내의 제1 웨이퍼 캐리어(C1) 및 제2 EFEM(20)의 제2 로드 락(250) 내의 제2 웨이퍼 캐리어(C2)로부터 제1 웨이퍼 및 제2 웨이퍼를 반출하여 웨이퍼 처리 챔버(60)에 투입시킬 수 있다.
또한, 웨이퍼 이송 암(50)은 웨이퍼 처리 챔버(60)에서 처리된 웨이퍼를 반출하여 제1 EFEM(10)의 제1 로드 락(150) 내의 제1 웨이퍼 캐리어(C1) 및 제2 EFEM(20)의 제2 로드 락(250) 내의 제2 웨이퍼 캐리어(C2)에 웨이퍼를 투입시키도록 구성될 수 있다.
또한, 웨이퍼 이송 암(50)은 제1 EFEM(10)의 제1 로드 락(150) 내의 제1 웨이퍼 캐리어(C1) 및 제2 EFEM(20)의 제2 로드 락(250) 내의 제2 웨이퍼 캐리어(C2)로부터 제1 웨이퍼 및 제2 웨이퍼를 반출하여 웨이퍼 얼라이너(45)에 투입할 수 있다.
웨이퍼 처리 챔버(60)는 웨이퍼 이송 암(50)으로부터 전달받은 제1 웨이퍼 및 제2 웨이퍼가 처리되는 공간을 제공할 수 있다. 예시적인 실시예에서, 웨이퍼 처리 챔버(60)는 복수 개로 제공될 수 있다.
예시적인 실시예에서, 복수의 웨이퍼 처리 챔버들(60)은 웨이퍼 이송 챔버(40)의 측부에 탑재될 수 있고, X 방향으로 연장될 수 있다. 또한, 복수의 웨이퍼 처리 챔버들(60)의 상부에는 복수의 처리 박스들(67)이 배치될 수 있다. 복수의 처리 박스들(67) 중 일부는 플라즈마 처리를 위한 부품들을 수용할 수 있고, 복수의 처리 박스들(67) 중 다른 일부는 플라즈마 처리를 위한 가스들을 보관할 수 있다.
도 4는 비교 예에 따른 웨이퍼 처리 장치(1')의 단면도이다.
도 4를 참조하면, 비교 예에 따른 웨이퍼 처리 장치(1')는 EFEM 챔버(110'), 웨이퍼 캐리어(C')를 지지하는 로드 포트(120'), 및 로드 락(130')을 포함하는 EFEM(10'), 웨이퍼 이송 챔버(40'), 웨이퍼 이송 로봇(50'), 및 웨이퍼 처리 챔버(60')를 포함할 수 있다.
도 4를 참조하면 비교 예에 따른 웨이퍼 처리 장치(1')를 평면적 관점에서 봤을 경우, 웨이퍼 캐리어(C')를 지지하는 로드 포트(120')는 EFEM 챔버(110')의 전면에 배치되고, 로드 락(130')은 EFEM 챔버(110')의 후면에 배치될 수 있다.
즉, 웨이퍼 처리 장치(1')를 평면적 관점에서 봤을 경우, 로드 락(130')은 EFEM 챔버(110')와 수직 방향으로 중첩되지 않을 수 있다. 이에 따라, 웨이퍼 처리 장치(1')는 상대적으로 큰 사이즈로 제공될 수 있다. 예를 들어, 웨이퍼 처리 장치(1')의 X 방향의 길이가 상대적으로 클 수 있다.
도 1 내지 도 3을 참조하여 설명한 본 개시의 웨이퍼 처리 장치(1)의 제1 로드 락(150) 및 제2 로드 락(250) 각각이 제1 EFEM 챔버(130) 및 제2 EFEM 챔버(230)와 수직 방향으로 중첩될 수 있어서, 상기 웨이퍼 처리 장치(1)의 사이즈가 축소될 수 있다. 예를 들어, 본 개시의 웨이퍼 처리 장치(1)의 사이즈는 비교 예에 따른 웨이퍼 처리 장치(1')의 사이즈보다 작을 수 있다
구체적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)의 X 방향의 길이는 비교 예에 따른 웨이퍼 처리 장치(1')의 X 방향의 길이보다 작을 수 있다. 이에 따라, 본 개시의 웨이퍼 처리 장치(1)의 단위 면적당 웨이퍼 처리 효율이 개선될 수 있다.
이하에서는 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(1)를 이용한 웨이퍼 처리 방법에 대하여 보다 구체적으로 설명한다.
도 5a 내지 도 5h는 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법의 각 단계들을 보여주는 도면들이다.
도 5a를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 EFEM 챔버(130)의 상부에 배치된 제1 분위기 조절 챔버(110)의 내부에 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어(C1)를 배치시키는 단계(S1100)를 포함할 수 있다.
예시적인 실시예에서, S1100 단계에서, 제1 분위기 조절 챔버 도어(115)는 제1 분위기 조절 챔버(110)의 내부 공간을 개방할 수 있다. 또한, 웨이퍼 캐리어 이송 장치(30)는 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(110)의 내부 공간에 투입할 수 있다.
예시적인 실시예에서, 웨이퍼 캐리어 이송 장치(30)의 웨이퍼 캐리어 이송 로봇(320)은 수평 방향 및 수직 방향으로 이동하여, 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(110)의 내부에 투입할 수 있다.
도 5b를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 분위기 조절 챔버(110)의 내부 공간을 차단하고, 상기 제1 분위기 조절 챔버(110)의 내부 공간의 분위기를 제1 EFEM 챔버(130)의 내부 공간의 분위기와 실질적으로 동일하도록 형성하는 단계(S1200)를 포함할 수 있다.
예시적인 실시예에서, S1200 단계에서, 제1 웨이퍼 캐리어(C1)가 제1 분위기 조절 챔버(110)의 내부 공간에 투입된 이후, 제1 분위기 조절 챔버 도어(115)는 제1 분위기 조절 챔버(110)의 내부 공간을 차단할 수 있다.
예시적인 실시예에서, S1200 단계에서, 제1 분위기 조절 챔버(110)의 내부 공간이 차단된 이후, 제1 분위기 조절 챔버(110)의 내부 공간의 분위기가 제1 EFEM 챔버(130)의 분위기와 실질적으로 동일하도록 형성될 수 있다. 예를 들어, 제1 분위기 조절 챔버(110)의 내부 공간의 습도, 온도, 및 압력 중 적어도 어느 하나가 제1 EFEM 챔버(130)의 내부 공간의 습도, 온도, 및 압력과 동일하도록 형성될 수 있다.
예시적인 실시예에서, S1200 단계에서, 제1 상부 에어 공급 장치(120)는 제1 EFEM 챔버(130)의 내부 공간의 공기를 흡입하여, 제1 분위기 조절 챔버(110)의 내부 공간에 상기 공기를 배출시키도록 구성될 수 있다. 이에 따라, 제1 분위기 조절 챔버(110)의 습도는 제1 EFEM 챔버(130)의 내부 공간의 습도와 실질적으로 동일하게 형성될 수 있다.
전술한 바에 한정되지 않고, S1200 단계에서, 제1 분위기 조절 챔버(110)의 온도가 제1 EFEM 챔버(130)의 온도와 실질적으로 동일해지도록, 열원(미도시)을 이용하여 제1 분위기 조절 챔버(110)의 내부를 가열시키는 단계가 추가적으로 수행될 수 있다.
또한, S1200 단계에서, 제1 분위기 조절 챔버(110)의 압력이 제1 EFEM 챔버(130)의 압력과 실질적으로 동일해지도록, 펌프(미도시)를 이용하여 상기 제1 분위기 조절 챔버(110)의 내부 공간의 압력을 제어하는 단계가 추가적으로 수행될 수 있다.
도 5c를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 분위기 조절 챔버(110)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간을 연결시키는 단계(S1300)를 포함할 수 있다.
예시적인 실시예에서, S1300 단계에서, 제1 EFEM 챔버 도어(135)가 열려, 제1 분위기 조절 챔버(110)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간이 연결될 수 있다.
제1 EFEM 챔버(130)의 내부 공간의 분위기가 전술한 S1200 단계의 수행을 통해 제1 분위기 조절 챔버(110)의 내부 공간의 분위기와 실질적으로 동일하게 형성될 수 있어서, S1300 단계에서 제1 웨이퍼 캐리어(C1) 내부의 제1 웨이퍼의 물리적 손상이 방지될 수 있다.
도 5d를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 EFEM 챔버(130)의 내부 공간에서 이동하는 제1 EFEM 암(160)을 통해 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(110)로부터 반출하고, 상기 제1 웨이퍼 캐리어(C1)를 제1 EFEM 챔버(130)에 투입하는 단계(S1400)를 포함할 수 있다.
예시적인 실시예에서, S1400 단계에서, 제1 EFEM 암(160)은 제1 EFEM 챔버(130)의 내부에서 수직 방향으로 이동하여 제1 분위기 조절 챔버(110) 내에 배치된 제1 웨이퍼 캐리어(C1)를 제1 EFEM 챔버(130)로 이송시킬 수 있다. 또한, S1400 단계에서, 제1 EFEM 암(160)은 제1 EFEM 챔버(130)의 내부에서 수평 방향으로 이동하여 제1 웨이퍼 캐리어(C1)를 제1 웨이퍼 맵핑 장치(170)와 인접한 상기 제1 EFEM 챔버(130)의 내부 공간에 이송시킬 수 있다.
도 5e를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어(C1)의 위치를 고정시키고, 제1 웨이퍼 맵핑 장치(170)를 통해 상기 제1 웨이퍼 캐리어(C1) 내의 제1 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하는 단계(S1500)를 포함할 수 있다.
예시적인 실시예에서, S1500 단계에서, 제1 웨이퍼 캐리어(C1)는 제1 웨이퍼 맵핑 장치(170)와 인접한 제1 EFEM 챔버(130)의 내부 공간에 고정될 수 있다. 이 때, 제1 웨이퍼 캐리어(C1)의 캐리어 도어는 제1 웨이퍼 맵핑 장치(170)를 향할 수 있다.
예시적인 실시예에서, S1500 단계에서, 제1 웨이퍼 캐리어(C1)의 위치가 제1 EFEM 챔버(130)의 내부 공간에 고정된 이후, 상기 제1 웨이퍼 캐리어(C1)의 캐리어 도어가 오픈되어 상기 제1 웨이퍼 캐리어(C1) 내의 복수의 제1 웨이퍼들이 제1 EFEM 챔버(130)의 내부 공간에 노출될 수 있다.
예시적인 실시예에서, S1500 단계에서, 제1 웨이퍼 캐리어(C1)의 캐리어 도어가 오픈된 이후, 제1 웨이퍼 맵핑 장치(170)는 상기 제1 웨이퍼 캐리어(C1) 내부의 복수의 제1 웨이퍼들의 위치 및 개수 중 적어도 어느 하나를 확인할 수 있다.
도 5f를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어(C1)를 회전시키는 단계(S1600)를 포함할 수 있다.
예시적인 실시예에서, S1600 단계에서, 제1 웨이퍼 캐리어(C1)는 90도 회전될 수 있다. 예를 들어, S1600 단계에서, 제1 웨이퍼 캐리어(C1)의 제1 웨이퍼 출입구가 EFEM 이송 챔버(40)를 향하도록 상기 제1 웨이퍼 캐리어(C1)는 90도 회전될 수 있다.
예시적인 실시예에서, S1600 단계에서, 제1 웨이퍼 캐리어(C1)를 지지하는 제1 EFEM 암(160)이 90도 회전함에 따라, 상기 제1 웨이퍼 캐리어(C1)의 웨이퍼 출입구는 EFEM 이송 챔버(40)를 향할 수 있다.
도 5g를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 로드 락(150)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간을 연결시키고, 제1 EFEM 암(160)을 통해 제1 웨이퍼 캐리어(C1)를 상기 제1 로드 락(150)의 내부 공간에 투입하는 단계(S1700)를 포함할 수 있다.
예시적인 실시예에서, S1700 단계에서, 제1 로드 락 도어(155)가 열려, 제1 로드 락(150)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간이 연결될 수 있다.
예시적인 실시예에서, S1700 단계에서, 제1 로드 락(150)의 내부 공간 및 제1 EFEM 챔버(130)의 내부 공간이 연결된 이후, 제1 EFEM 암(160)은 제1 EFEM 챔버(130)로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 로드 락(150)의 내부 공간에 투입시킬 수 있다.
도 5h를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 로드 락(150)의 내부 공간을 차단하고, 상기 제1 로드 락(150)의 내부 공간의 압력을 진공 압으로 형성하는 단계(S1800)를 포함할 수 있다.
예시적인 실시예에서, S1800 단계에서, 제1 로드 락 도어(155)가 닫혀, 제1 로드 락(150)의 내부 공간이 차단될 수 있다. 또한, 제1 로드 락(150)의 내부 공간이 차단된 경우, 상기 제1 로드 락(150)의 내부 공간의 압력은 진공 압으로 제어될 수 있다. 이에 따라, 제1 로드 락(150)의 내부 공간에 배치된 제1 웨이퍼 캐리어(C1) 주변의 압력은 진공 압으로 형성될 수 있다.
추가적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은, 제1 로드 락(150)의 내부에 배치된 제1 웨이퍼 캐리어(C1)로부터 제1 웨이퍼를 웨이퍼 이송 암(도 1, 50)을 이용하여 반출하는 단계, 상기 제1 웨이퍼를 웨이퍼 이송 암(50)을 이용하여 복수의 웨이퍼 처리 챔버들(도 1, 60) 중 적어도 어느 하나에 투입시키는 단계, 및 상기 제1 웨이퍼를 웨이퍼 처리 챔버(60)의 내부에서 처리하는 단계를 포함할 수 있다.
또한, 추가적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은, 처리된 제1 웨이퍼를 웨이퍼 이송 암(50)을 통해 웨이퍼 처리 챔버(60)로부터 반출하여 제1 로드 락(150)의 내부에 배치된 제1 웨이퍼 캐리어(C1)에 투입시키는 단계, 제1 로드 락(150)의 내부의 압력을 제1 EFEM 챔버(130)의 내부의 압력과 실질적으로 동일하도록 형성하는 단계, 제1 로드 락(150)의 내부 공간을 개방하는 단계, 제1 웨이퍼 캐리어(C1)를 제1 EFEM 암(160)을 통해 제1 로드 락(150)으로부터 반출하여 제1 EFEM 챔버(130)에 투입시키는 단계, 제1 웨이퍼 캐리어(C1)를 제1 EFEM 암(160)을 통해 제1 EFEM 챔버(130)로부터 반출하여 제1 분위기 조절 챔버(110)로 투입시키는 단계, 및 웨이퍼 캐리어 이송 장치(30)를 이용하여 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(110)로부터 수거하는 단계를 더 포함할 수 있다.
도 6은 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(2)의 단면도이다. 또한, 도 7은 도 6의 VII-VII'로 표시된 영역의 절단 단면도이고, 도 8은 도 6의 VIII-VIII'로 표시된 영역의 절단 단면도이다.
도 6 내지 도 8을 함께 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 장치(2)는 웨이퍼 캐리어 이송 장치(30), 제1 EFEM(15), 제2 EFEM(25), 웨이퍼 이송 챔버(48), 웨이퍼 이송 암(55), 및 웨이퍼 처리 챔버(65) 등을 포함할 수 있다.
이하에서는, 도 1 내지 도 3의 웨이퍼 처리 장치(1) 및 도 6 내지 도 8의 웨이퍼 처리 장치(2)의 중복된 내용은 생략하고, 차이점을 위주로 설명하도록 한다.
웨이퍼 캐리어 이송 장치(30)는 웨이퍼 처리 공정의 수행을 위해 웨이퍼 캐리어(C)를 제1 EFEM(15) 및 제2 EFEM(25)으로 이송시킬 수 있다. 또한, 웨이퍼 캐리어 이송 장치(30)는 웨이퍼 처리 공정이 수행된 웨이퍼를 수용하는 웨이퍼 캐리어(C)를 제1 EFEM(15) 및 제2 EFEM(25)으로부터 전달받아 후속 공정을 위한 챔버로 상기 웨이퍼 캐리어(C)를 이송시킬 수 있다.
예시적인 실시예에서, 웨이퍼 캐리어 이송 장치(30)는 웨이퍼 캐리어 이송 라인(310), 및 웨이퍼 캐리어 이송 로봇(320)을 포함할 수 있다.
제1 EFEM(15)은 제1 분위기 조절 챔버(114), 제1 분위기 조절 챔버 도어(118), 제1 상부 에어 공급 장치(125), 제1 EFEM 챔버(134), 제1 EFEM 챔버 도어(138), 제1 하부 에어 공급 장치(145), 제1 로드 락(154), 제1 로드 락 도어(158), 제1 웨이퍼 맵핑 장치(165), 제1 웨이퍼 캐리어 지지대(168), 제1 웨이퍼 얼라이너(175), 및 제1 EFEM 암(185) 등을 포함할 수 있다.
이하에서는, 도 1 내지 도 3을 참조하여 설명한 제1 EFEM(10)과 중복된 내용은 생략하고, 차이점을 위주로 설명하도록 한다.
예시적인 실시예에서, 제1 웨이퍼 맵핑 장치(165)는 제1 EFEM 챔버(134)의 측부에 배치될 수 있다. 또한, 제1 웨이퍼 맵핑 장치(165)는 제1 분위기 조절 챔버(114)의 하부에 배치될 수 있다. 제1 웨이퍼 맵핑 장치(165)는 제1 웨이퍼 캐리어(C1) 내부에 배치된 제1 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하도록 구성될 수 있다.
제1 로드 락(154)은 제1 EFEM 챔버(134)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제1 로드 락(154)은 제1 EFEM 챔버(134)의 내부 공간에 배치될 수 있다.
예시적인 실시예에서, 제1 로드 락(154)은 제1 웨이퍼 캐리어(C1)로부터 반출된 제1 웨이퍼가 배치되는 공간을 제공하고, 제1 EFEM 챔버(134) 및 웨이퍼 이송 챔버(40)를 공간적으로 연결시킬 수 있다.
제1 웨이퍼 캐리어 지지대(168)는 제1 EFEM 챔버(134)의 내부에서 제1 웨이퍼 캐리어(C1)를 지지하도록 구성될 수 있다. 예시적인 실시예에서, 제1 웨이퍼 캐리어 지지대(168)는 제1 웨이퍼 맵핑 장치(165)에 의한 맵핑이 수행된 제1 웨이퍼 캐리어(C1)를 지지할 수 있다. 예를 들어, 제1 웨이퍼 캐리어 지지대(168)는 제1 EFEM 챔버(134)의 내면으로부터 수평 방향으로 연장된 선반일 수 있다.
예시적인 실시예에서, 제1 웨이퍼 얼라이너(175)는 제1 EFEM 챔버(134)의 적어도 일 부분과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제1 웨이퍼 얼라이너(175)는 제1 EFEM 챔버(134)의 내부 공간에 배치될 수 있다.
또한, 제1 웨이퍼 얼라이너(175)는 제1 로드 락(154)과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제1 웨이퍼 얼라이너(175)는 제1 로드 락(154)과 수직 방향으로 중첩되도록 상기 제1 로드 락(154)의 상부에 배치될 수 있다.
예시적인 실시예에서, 제1 웨이퍼 얼라이너(175)는 제1 웨이퍼 캐리어 지지대(168)에 안착된 제1 웨이퍼 캐리어(C1)로부터 반출된 제1 웨이퍼를 수용할 수 있다. 또한, 제1 웨이퍼 얼라이너(175)는 상기 제1 웨이퍼를 정렬시키도록 구성될 수 있다.
예를 들어, 제1 웨이퍼 얼라이너(175)는 제1 웨이퍼가 미리 설정된 방향을 향하도록 상기 제1 웨이퍼를 회전시키도록 구성된 스피너를 포함할 수 있다. 구체적으로, 제1 웨이퍼 얼라이너(175)는 제1 웨이퍼에 형성된 노치를 센싱하고, 상기 센싱된 노치의 위치에 기반하여 제1 웨이퍼를 회전시키도록 구성될 수 있다.
제1 EFEM 암(185)은 제1 EFEM 챔버(130)의 내부에서 이동하여, 제1 웨이퍼 캐리어(C1) 및 제1 웨이퍼 중 적어도 어느 하나를 이송시키도록 구성될 수 있다. 예시적인 실시예에서, 제1 EFEM 암(185)은 제1 웨이퍼 캐리어(C1)를 핸들링하도록 구성된 제1 로봇 암을 포함할 수 있고, 제1 웨이퍼를 핸들링하도록 구성된 제2 로봇 암을 포함할 수 있다. 다만 이에 한정되지 않고, 제1 EFEM 암(185)은 제1 웨이퍼 캐리어(C1) 및 제1 웨이퍼를 모두 핸들링하도록 구성된 1개의 로봇 암을 포함할 수도 있다.
제1 EFEM 암(185)은 제1 EFEM 챔버(130)의 내부에서 이동하여, 제1 웨이퍼 캐리어(C1)를 이송시키도록 구성될 수 있다. 예시적인 실시예에서, 제1 EFEM 암(160)은 제1 분위기 조절 챔버(110)로부터 제1 웨이퍼 캐리어(C1)를 반출하여 제1 EFEM 챔버(130)에 투입하거나, 상기 제1 EFEM 챔버(130)의 내부에서 제1 웨이퍼 캐리어(C1)를 제1 웨이퍼 캐리어 지지대(168)에 안착시킬 수 있다.
또한, 제1 EFEM 암(180)은 제1 웨이퍼 캐리어 지지대(168)에 안착된 제1 웨이퍼 캐리어(C1)로부터 제1 웨이퍼를 반출하여 제1 로드 락(154) 및 제1 웨이퍼 얼라이너(175) 중 적어도 어느 하나에 투입할 수 있다.
예를 들어, 제1 EFEM 암(180)은 제1 웨이퍼 캐리어 지지대(168)에 안착된 제1 웨이퍼 캐리어(C1)로부터 제1 웨이퍼를 반출하여 제1 웨이퍼 얼라이너(175)에 투입할 수 있다. 또한, 제1 EFEM 암(180)은 제1 웨이퍼 얼라이너(175)에 의해 정렬된 제1 웨이퍼를 상기 제1 웨이퍼 얼라이너(175)로부터 반출하여 제1 로드 락(154)에 투입할 수 있다.
제2 EFEM(25)은 제1 분위기 조절 챔버(214), 제2 분위기 조절 챔버 도어(218), 제2 상부 에어 공급 장치(225), 제2 EFEM 챔버(234), 제2 EFEM 챔버 도어(238), 제2 하부 에어 공급 장치(245), 제2 로드 락(254), 제2 로드 락 도어(258), 제2 웨이퍼 맵핑 장치(265), 제2 웨이퍼 캐리어 지지대(268), 제2 웨이퍼 얼라이너(275), 및 제2 EFEM 암(285) 등을 포함할 수 있다.
제2 EFEM(25)의 내용은 전술한 제1 EFEM(15)의 내용과 중복되므로, 자세한 내용은 생략한다.
웨이퍼 이송 암(55)은 웨이퍼 이송 챔버(48)의 내부에서 수평 방향(예를 들어, X 방향)으로 이동하고, 제1 EFEM(15)의 제1 로드 락(154) 내의 제1 웨이퍼 및 제2 EFEM(25)의 제2 로드 락(254) 내의 제2 웨이퍼를 반출하여 웨이퍼 처리 챔버(65)에 투입시킬 수 있다.
또한, 웨이퍼 이송 암(55)은 웨이퍼 처리 챔버(65)에서 처리된 웨이퍼를 반출하여 제1 EFEM(10)의 제1 로드 락(154) 및 제2 로드 락(254)에 투입시키도록 구성될 수 있다.
본 개시의 웨이퍼 처리 장치(2)의 제1 로드 락(154) 및 제2 로드 락(254) 각각은 제1 EFEM 챔버(134) 및 제2 EFEM 챔버(234)와 수직 방향으로 중첩되도록 배치되고, 제1 웨이퍼 얼라이너(175) 및 제2 웨이퍼 얼라이너(275) 각각은 제1 EFEM 챔버(134) 및 제2 EFEM 챔버(234)와 수직 방향으로 중첩되도록 배치될 수 있다.
이에 따라, 본 개시의 웨이퍼 처리 장치(2)의 사이즈가 축소될 수 있고, 상기 웨이퍼 처리 장치(2)의 단위 면적당 웨이퍼 처리 효율이 개선될 수 있다.
도 9는 본 개시의 예시적 실시예에 따른 제1 EFEM(15a)의 단면도이다.
이하에서는, 도 7의 제1 EFEM(15)과 도 9의 제1 EFEM(15a)의 중복된 내용은 생략하고 차이점을 위주로 설명하도록 한다.
도 9를 참조하면, 제1 EFEM(15a)의 제1 웨이퍼 얼라이너(175a)는 제1 로드 락(154a)과 수직 방향으로 중첩되도록 배치될 수 있다. 예를 들어, 제1 웨이퍼 얼라이너(175a)는 제1 로드 락(154a)과 수직 방향으로 중첩되도록, 상기 제1 로드 락(154a)의 하부에 배치될 수 있다.
도 10a 내지 도 10k는 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법의 각 단계들을 보여주는 도면들이다. 구체적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 도 6 내지 도 8의 웨이퍼 처리 장치(2)를 이용한 웨이퍼 처리 방법일 수 있다.
도 10a를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 EFEM 챔버(134)의 상부에 배치된 제1 분위기 조절 챔버(114)의 내부에 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어(C1)를 배치시키는 단계(S2100)를 포함할 수 있다.
예시적인 실시예에서, S2100 단계에서, 제1 분위기 조절 챔버 도어(118)는 제1 분위기 조절 챔버(114)의 내부 공간을 개방할 수 있다. 또한, 웨이퍼 캐리어 이송 장치(30)는 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(114)의 내부 공간에 투입할 수 있다.
도 10b를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 분위기 조절 챔버(114)의 내부 공간을 차단하고, 상기 제1 분위기 조절 챔버(114)의 내부 공간의 분위기를 제1 EFEM 챔버(134)의 내부 공간의 분위기와 실질적으로 동일하도록 형성하는 단계(S2200)를 포함할 수 있다.
예시적인 실시예에서, S2200 단계에서, 제1 웨이퍼 캐리어(C1)가 제1 분위기 조절 챔버(114)의 내부 공간에 투입된 이후, 제1 분위기 조절 챔버 도어(118)는 제1 분위기 조절 챔버(114)의 내부 공간을 차단할 수 있다.
예시적인 실시예에서, S2200 단계에서, 제1 분위기 조절 챔버(114)의 내부 공간이 차단된 이후, 제1 분위기 조절 챔버(114)의 내부 공간의 분위기가 제1 EFEM 챔버(134)의 분위기와 실질적으로 동일하도록 제어될 수 있다. 예를 들어, 제1 분위기 조절 챔버(114)의 내부 공간의 습도, 온도, 및 압력 중 적어도 어느 하나가 제1 EFEM 챔버(134)의 내부 공간의 습도, 온도, 및 압력과 동일하도록 제어될 수 있다.
예시적인 실시예에서, S2200 단계에서, 제1 상부 에어 공급 장치(125)는 제1 EFEM 챔버(134)의 내부 공간의 공기를 흡입하여, 제1 분위기 조절 챔버(114)의 내부 공간에 상기 공기를 배출시키도록 구성될 수 있다. 이에 따라, 제1 분위기 조절 챔버(114)의 습도는 제1 EFEM 챔버(134)의 내부 공간의 습도와 실질적으로 동일하게 형성될 수 있다.
도 10c를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 분위기 조절 챔버(114)의 내부 공간 및 제1 EFEM 챔버(134)의 내부 공간을 연결시키는 단계(S2300)를 포함할 수 있다.
예시적인 실시예에서, S2300 단계에서, 제1 EFEM 챔버 도어(138)가 열려, 제1 분위기 조절 챔버(114)의 내부 공간 및 제1 EFEM 챔버(134)의 내부 공간이 연결될 수 있다.
제1 EFEM 챔버(134)의 내부 공간의 분위기가 전술한 S2200 단계의 수행을 통해 제1 분위기 조절 챔버(114)의 내부 공간의 분위기와 실질적으로 동일하게 형성될 수 있어서, S2300 단계에서 제1 웨이퍼 캐리어(C1) 내부의 제1 웨이퍼의 물리적 손상이 방지될 수 있다.
도 10d를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 EFEM 챔버(134)의 내부 공간에서 이동하는 제1 EFEM 암(185)을 통해 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(114)로부터 반출하고, 상기 제1 웨이퍼 캐리어(C1)를 제1 EFEM 챔버(134)에 투입하는 단계(S2400)를 포함할 수 있다.
예시적인 실시예에서, S2400 단계에서, 제1 EFEM 암(185)은 제1 EFEM 챔버(134)의 내부에서 수평 방향으로 이동하여 제1 웨이퍼 캐리어(C1)를 제1 웨이퍼 맵핑 장치(165)와 인접한 상기 제1 EFEM 챔버(130)의 내부 공간에 이송시킬 수 있다.
도 10e를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어(C1)의 위치를 고정시키고, 제1 웨이퍼 맵핑 장치(165)를 통해 상기 제1 웨이퍼 캐리어(C1) 내의 제1 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하는 단계(S2500)를 포함할 수 있다.
예시적인 실시예에서, S2500 단계에서, 제1 웨이퍼 캐리어(C1)의 위치가 제1 EFEM 챔버(134)의 내부 공간에 고정된 이후, 상기 제1 웨이퍼 캐리어(C1)의 캐리어 도어가 오픈되어 상기 제1 웨이퍼 캐리어(C1) 내의 복수의 제1 웨이퍼들이 제1 EFEM 챔버(134)의 내부 공간에 노출될 수 있다.
예시적인 실시예에서, S2500 단계에서, 제1 웨이퍼 캐리어(C1)의 캐리어 도어가 오픈된 이후, 제1 웨이퍼 맵핑 장치(165)는 상기 제1 웨이퍼 캐리어(C1) 내부의 복수의 제1 웨이퍼들의 위치 및 개수 중 적어도 어느 하나를 확인할 수 있다.
도 10f를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어(C1)를 회전시키는 단계(S2600)를 포함할 수 있다.
예시적인 실시예에서, S2600 단계에서, 제1 웨이퍼 캐리어(C1)는 180도 회전될 수 있다. 예를 들어, S2600 단계에서, 제1 웨이퍼 캐리어(C1)의 제1 웨이퍼 출입구가 제1 웨이퍼 얼라이너(175)의 출입구 및 제1 로드 락(154)의 출입구와 동일한 방향을 향하도록 상기 제1 웨이퍼 캐리어(C1)는 180도 회전될 수 있다.
도 10g를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어(C1)를 제1 웨이퍼 캐리어 지지대(168)에 안착시키는 단계(S2700)를 포함할 수 있다.
예시적인 실시예에서, S2700 단계에서, 제1 EFEM 암(185)은 제1 웨이퍼 맵핑 장치(165)에 의해 맵핑이 수행된 제1 웨이퍼 캐리어(C1)를 제1 웨이퍼 캐리어 지지대(168)에 안착시킬 수 있다.
도 10h를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 캐리어 지지대(168)에 안착된 제1 웨이퍼 캐리어(C1)로부터 제1 웨이퍼를 반출하여 제1 웨이퍼 얼라이너(175)에 투입시키는 단계(S2800)를 포함할 수 있다.
예시적인 실시예에서, S2800 단계에서, 제1 EFEM 암(185)은 제1 웨이퍼 캐리어(C1)로부터 제1 웨이퍼를 반출하여 제1 웨이퍼 얼라이너(175)에 투입시킬 수 있다.
도 10i를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 얼라이너(175)를 이용하여 제1 웨이퍼를 정렬시키는 단계(S2900)를 포함할 수 있다.
예시적인 실시예에서, S2900 단계에서, 제1 웨이퍼 얼라이너(175)는 제1 웨이퍼가 미리 설정된 방향을 향하도록 상기 제1 웨이퍼를 회전시킬 수 있다. 예를 들어, 제1 웨이퍼 얼라이너(175)는 제1 웨이퍼에 형성된 노치를 센싱하고, 센싱된 노치의 위치에 기반하여 제1 웨이퍼를 회전시킬 수 있다.
도 10j를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 웨이퍼 얼라이너(175)로부터 제1 웨이퍼를 반출하여 제1 로드 락(154)에 투입시키는 단계(S3000)를 포함할 수 있다.
예시적인 실시예에서, S3000 단계에서, 제1 EFEM 암(185)은 제1 웨이퍼 얼라이너(175)로부터 정렬된 제1 웨이퍼를 반출하여 제1 로드 락(154)에 투입시킬 수 있다.
도 10k를 참조하면, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은 제1 로드 락(154)의 내부 공간을 차단하고, 상기 제1 로드 락(154)의 내부 공간의 압력을 진공 압으로 형성하는 단계(S3100)를 포함할 수 있다.
예시적인 실시예에서, S3100 단계에서, 제1 로드 락 도어(158)가 닫혀, 제1 로드 락(154)의 내부 공간이 차단될 수 있다. 또한, 제1 로드 락(154)의 내부 공간이 차단된 경우, 상기 제1 로드 락(154)의 내부 공간의 압력은 진공 압으로 제어될 수 있다. 이에 따라, 제1 로드 락(154)의 내부 공간에 배치된 제1 웨이퍼 주변의 압력은 진공 압으로 형성될 수 있다.
추가적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은, 제1 로드 락(150)의 내부에 배치된 제1 웨이퍼를 웨이퍼 이송 암(도 6, 55)을 이용하여 반출하는 단계, 상기 제1 웨이퍼를 웨이퍼 이송 암(55)을 이용하여 복수의 웨이퍼 처리 챔버들(도 6, 65) 중 적어도 어느 하나에 투입시키는 단계, 및 상기 제1 웨이퍼를 웨이퍼 처리 챔버(65)의 내부에서 처리하는 단계를 포함할 수 있다.
또한, 추가적으로, 본 개시의 예시적 실시예에 따른 웨이퍼 처리 방법은, 처리된 제1 웨이퍼를 웨이퍼 이송 암(55)을 통해 웨이퍼 처리 챔버(65)로부터 반출하여 제1 로드 락(154)에 투입시키는 단계, 제1 로드 락(154)의 내부의 압력을 제1 EFEM 챔버(134)의 내부의 압력과 실질적으로 동일하도록 형성하는 단계, 제1 로드 락(154)의 내부 공간을 개방하는 단계, 제1 웨이퍼를 제1 EFEM 암(185)을 통해 제1 로드 락(154)으로부터 반출하여 제1 웨이퍼 캐리어 지지대(168)에 안착된 제1 웨이퍼 캐리어(C1)에 투입시키는 단계, 제1 웨이퍼 캐리어(C1)를 제1 EFEM 암(160)을 통해 제1 EFEM 챔버(134)로부터 반출하여 제1 분위기 조절 챔버(114)로 투입시키는 단계, 및 웨이퍼 캐리어 이송 장치(30)를 이용하여 제1 웨이퍼 캐리어(C1)를 제1 분위기 조절 챔버(114)로부터 수거하는 단계를 더 포함할 수 있다.
이상에서 설명한 본 개시의 기술적 사상은 전술한 실시예들 및 첨부된 도면들에 한정되지 않는다. 또한 본 개시의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은 본 개시가 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.

Claims (10)

  1. 제1 EFEM으로서, 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어를 보관하는 제1 분위기 조절 챔버; 상기 제1 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제1 상부 에어 공급 장치; 상기 제1 분위기 조절 챔버의 하부에 배치된 제1 EFEM 챔버; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치된 제1 로드 락; 및 상기 제1 분위기 조절 챔버, 상기 제1 EFEM 챔버, 및 상기 제1 로드 락의 내부에서 상기 제1 웨이퍼 캐리어를 이송시키도록 구성된 제1 EFEM 암;을 포함하는 상기 제1 EFEM;
    상기 제1 EFEM의 상기 제1 로드 락과 연결된 웨이퍼 이송 챔버;
    상기 웨이퍼 이송 챔버와 연결되고, 상기 제1 웨이퍼의 처리 공간을 제공하는 복수의 웨이퍼 처리 챔버들; 및
    상기 웨이퍼 이송 챔버의 내부에 배치되고, 상기 제1 웨이퍼를 상기 제1 로드 락으로부터 반출하여 상기 복수의 웨이퍼 처리 챔버들 중 적어도 어느 하나에 투입하도록 구성된 웨이퍼 이송 암;
    을 포함하는 웨이퍼 처리 장치.
  2. 제1 항에 있어서,
    상기 제1 EFEM과 수평 방향으로 이격된 제2 EFEM으로서, 제2 웨이퍼를 수용하는 제2 웨이퍼 캐리어를 보관하는 제2 분위기 조절 챔버; 상기 제2 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제2 상부 에어 공급 장치; 상기 제2 분위기 조절 챔버의 하부에 배치된 제2 EFEM 챔버; 상기 제2 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치되고 상기 웨이퍼 이송 챔버와 연결된 제2 로드 락; 및 상기 제2 분위기 조절 챔버, 상기 제2 EFEM 챔버, 및 상기 제2 로드 락의 내부에서 상기 제2 웨이퍼 캐리어를 이송시키도록 구성된 제2 EFEM 암;을 포함하는 상기 제2 EFEM;
    을 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  3. 제2 항에 있어서,
    상기 웨이퍼 이송 챔버와 연결되고, 상기 제1 웨이퍼 및 상기 제2 웨이퍼 중 적어도 어느 하나를 정렬시키도록 구성된 웨이퍼 얼라이너;
    를 더 포함하고,
    상기 웨이퍼 이송 암은,
    상기 제1 로드 락으로부터 상기 제1 웨이퍼를 반출하여 상기 웨이퍼 얼라이너에 투입하거나, 상기 제2 로드 락으로부터 상기 제2 웨이퍼를 반출하여 상기 웨이퍼 얼라이너에 투입하는 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 제1 EFEM으로서, 제1 웨이퍼를 수용하는 제1 웨이퍼 캐리어를 보관하는 제1 분위기 조절 챔버; 상기 제1 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제1 상부 에어 공급 장치; 상기 제1 분위기 조절 챔버의 하부에 배치된 제1 EFEM 챔버; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치된 제1 로드 락; 상기 제1 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치되고, 상기 제1 웨이퍼를 정렬시키도록 구성된 제1 웨이퍼 얼라이너; 및 상기 제1 웨이퍼 캐리어를 상기 제1 분위기 조절 챔버로부터 반출하여 상기 제1 EFEM 챔버로 투입시키거나, 상기 제1 웨이퍼 캐리어로부터 상기 제1 웨이퍼를 반출하여 상기 제1 로드 락, 및 상기 제1 웨이퍼 얼라이너 중 적어도 어느 하나에 투입하도록 구성된 제1 EFEM 암;을 포함하는 상기 제1 EFEM;
    상기 제1 EFEM의 상기 제1 로드 락과 연결된 웨이퍼 이송 챔버;
    상기 웨이퍼 이송 챔버와 연결되고, 상기 제1 웨이퍼의 처리 공간을 제공하는 복수의 웨이퍼 처리 챔버들; 및
    상기 웨이퍼 이송 챔버의 내부에 배치되고, 상기 제1 웨이퍼를 상기 제1 로드 락으로부터 반출하여 상기 복수의 웨이퍼 처리 챔버들 중 적어도 어느 하나에 투입하도록 구성된 웨이퍼 이송 암;
    을 포함하는 웨이퍼 처리 장치.
  5. 제4 항에 있어서,
    상기 제1 EFEM과 수평 방향으로 이격된 제2 EFEM으로서, 제2 웨이퍼를 수용하는 제2 웨이퍼 캐리어를 보관하는 제2 분위기 조절 챔버; 상기 제2 분위기 조절 챔버의 내부에 공기를 분사하도록 구성된 제2 상부 에어 공급 장치; 상기 제2 분위기 조절 챔버의 하부에 배치된 제2 EFEM 챔버; 상기 제2 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치되고, 상기 웨이퍼 이송 챔버와 연결된 제2 로드 락; 상기 제2 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩되도록 배치되고, 상기 제2 웨이퍼를 정렬시키도록 구성된 제2 웨이퍼 얼라이너; 및 상기 제2 웨이퍼 캐리어를 상기 제2 분위기 조절 챔버로부터 반출하여 상기 제2 EFEM 챔버로 투입시키거나, 상기 제2 웨이퍼 캐리어로부터 상기 제2 웨이퍼를 반출하여 상기 제2 로드 락 및 상기 제2 웨이퍼 얼라이너 중 적어도 어느 하나에 투입하도록 구성된 제2 EFEM 암;을 포함하는 상기 제2 EFEM;
    을 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  6. EFEM 챔버의 상부에 배치된 분위기 조절 챔버의 내부에 웨이퍼를 수용한 웨이퍼 캐리어를 투입시키는 단계;
    상기 분위기 조절 챔버의 내부 공간을 차단하는 단계;
    상기 분위기 조절 챔버의 상기 내부 공간의 분위기를 상기 EFEM 챔버의 내부 공간의 분위기와 동일하도록 형성하는 단계;
    상기 분위기 조절 챔버의 상기 내부 공간 및 상기 EFEM 챔버의 상기 내부 공간을 연결시키는 단계; 및
    상기 EFEM 챔버의 내부에서 이동하는 EFEM 암을 통해 상기 웨이퍼 캐리어를 상기 분위기 조절 챔버로부터 반출하여 상기 EFEM 챔버로 투입시키는 단계;
    를 포함하는 웨이퍼 처리 방법.
  7. 제6 항에 있어서,
    상기 웨이퍼 캐리어를 고정시키는 단계; 및
    상기 웨이퍼 캐리어 내의 상기 웨이퍼의 개수 및 위치 중 적어도 어느 하나를 확인하는 단계;
    를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  8. 제6 항에 있어서,
    상기 분위기 조절 챔버의 상기 내부 공간의 분위기를 상기 EFEM 챔버의 내부 공간의 분위기와 동일하도록 형성하는 단계는,
    상기 분위기 조절 챔버의 상기 내부 공간의 습도, 온도, 및 압력 중 적어도 어느 하나가 상기 EFEM 챔버의 상기 내부 공간의 습도, 온도, 및 압력과 동일하도록 형성하는 단계;
    를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  9. 제6 항에 있어서,
    상기 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩된 로드 락의 내부 공간 및 상기 EFEM 챔버의 내부 공간을 연결시키고, 상기 EFEM 암을 통해 상기 웨이퍼 캐리어를 상기 로드 락의 내부 공간에 투입하는 단계;
    상기 로드 락의 내부 공간을 차단하고, 상기 로드 락의 내부 공간의 압력을 진공 압으로 형성하는 단계;
    상기 로드 락의 내부 공간과 연결된 웨이퍼 이송 챔버 내에 배치된 웨이퍼 이송 암을 통해 상기 로드 락의 상기 내부 공간에 배치된 상기 웨이퍼 캐리어로부터 상기 웨이퍼를 반출하여 웨이퍼 처리 챔버에 투입시키는 단계;
    상기 웨이퍼 처리 챔버의 내부에서 상기 웨이퍼를 처리하는 단계; 및
    상기 웨이퍼 처리 챔버로부터 처리된 상기 웨이퍼를 상기 웨이퍼 이송 암을 통해 반출하여 상기 로드 락 내부의 상기 웨이퍼 캐리어에 투입시키는 단계;
    를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  10. 제6 항에 있어서,
    상기 EFEM 챔버의 적어도 일 부분과 수직 방향으로 중첩된 로드 락의 내부 공간 및 상기 EFEM 챔버의 내부 공간을 연결시키고, 상기 EFEM 암을 통해 상기 웨이퍼 캐리어로부터 상기 웨이퍼를 반출하여 상기 로드 락의 내부 공간에 투입하는 단계;
    상기 로드 락의 내부 공간을 차단하고, 상기 로드 락의 내부 공간의 압력을 진공 압으로 형성하는 단계;
    상기 로드 락의 내부 공간과 연결된 웨이퍼 이송 챔버 내에 배치된 웨이퍼 이송 암을 통해 상기 로드 락의 상기 내부 공간에 배치된 상기 웨이퍼를 반출하여 웨이퍼 처리 챔버에 투입시키는 단계;
    상기 웨이퍼 처리 챔버의 내부에서 상기 웨이퍼를 처리하는 단계; 및
    상기 웨이퍼 처리 챔버로부터 처리된 상기 웨이퍼를 상기 웨이퍼 이송 암을 통해 반출하여 상기 로드 락에 투입시키는 단계;
    를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
KR1020210120547A 2021-09-09 2021-09-09 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법 KR20230037350A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210120547A KR20230037350A (ko) 2021-09-09 2021-09-09 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법
US17/718,574 US11804393B2 (en) 2021-09-09 2022-04-12 Wafer processing apparatus including equipment front end module (EFEM) and wafer processing method using the same
JP2022131814A JP2023039920A (ja) 2021-09-09 2022-08-22 Efemを含むウェーハ処理装置及びウェーハ処理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210120547A KR20230037350A (ko) 2021-09-09 2021-09-09 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법

Publications (1)

Publication Number Publication Date
KR20230037350A true KR20230037350A (ko) 2023-03-16

Family

ID=85386635

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210120547A KR20230037350A (ko) 2021-09-09 2021-09-09 Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법

Country Status (3)

Country Link
US (1) US11804393B2 (ko)
JP (1) JP2023039920A (ko)
KR (1) KR20230037350A (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493955B2 (ja) 2003-09-01 2010-06-30 東京エレクトロン株式会社 基板処理装置及び搬送ケース
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
JP6582676B2 (ja) 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US10332769B2 (en) 2016-01-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing station, semiconductor process and method of operating semiconductor processing station
EP3499556B1 (en) * 2016-08-09 2021-04-07 Kondoh Industries, Ltd. Semiconductor manufacturing apparatus
KR20180045316A (ko) 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10510573B2 (en) 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US11011401B2 (en) * 2017-11-28 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation
KR102592920B1 (ko) 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치

Also Published As

Publication number Publication date
JP2023039920A (ja) 2023-03-22
US11804393B2 (en) 2023-10-31
US20230072147A1 (en) 2023-03-09

Similar Documents

Publication Publication Date Title
JP6907166B2 (ja) 半導体処理ツール
JP4353450B2 (ja) ウエハ処理装置とともに使用するための自動化ウエハバッファ
KR101290980B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2001524267A (ja) 複数のシングル・ウェーハ・ロードロック・ウェーハ加工装置ならびにそのローディングおよびアンローディングの方法
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
CN109560021B (zh) 基板处理装置、基板处理方法以及计算机存储介质
JP2009010009A (ja) 基板処理装置及び半導体装置の製造方法
US10872798B2 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate transfer method
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
KR102058985B1 (ko) 로드 스테이션
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
US6079928A (en) Dual plate gas assisted heater module
JP5923197B2 (ja) 基板処理装置および半導体装置の製造方法
JP6902379B2 (ja) 処理システム
KR19980024442A (ko) 피처리체의 반송을 위한 중계장치
KR20230037350A (ko) Efem을 포함하는 웨이퍼 처리 장치 및 웨이퍼 처리 방법
JP3769425B2 (ja) 電子部品の製造装置および電子部品の製造方法
TWI681490B (zh) 載體搬送裝置及載體搬送方法
JP2014060338A (ja) 基板処理装置
JP3856726B2 (ja) 半導体製造装置
JPH05326666A (ja) 搬送装置
US20230080991A1 (en) Wafer processing apparatus including efem and method of processing wafer
JP2004119627A (ja) 半導体製造装置
KR102278078B1 (ko) 기판 반송 장치 및 기판 처리 장치
JP2014029891A (ja) 半導体製造装置