CN101743341B - 用于等离子体增强的化学气相沉积和斜边蚀刻的系统 - Google Patents

用于等离子体增强的化学气相沉积和斜边蚀刻的系统 Download PDF

Info

Publication number
CN101743341B
CN101743341B CN2008800244367A CN200880024436A CN101743341B CN 101743341 B CN101743341 B CN 101743341B CN 2008800244367 A CN2008800244367 A CN 2008800244367A CN 200880024436 A CN200880024436 A CN 200880024436A CN 101743341 B CN101743341 B CN 101743341B
Authority
CN
China
Prior art keywords
substrate
gas
chamber
processing
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008800244367A
Other languages
English (en)
Other versions
CN101743341A (zh
Inventor
阿希什·沙
戴尔·R·杜波依斯
加内什·巴拉萨布拉曼尼恩
马克·A·福多尔
金义勇
秋·钱
卡希克·贾纳基拉曼
托马斯·诺瓦克
约瑟夫·C·沃纳
维斯韦斯瓦伦·西瓦拉玛克里施南
穆罕默德·阿尤布
阿米尔·阿拉-巴提亚
周建华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101743341A publication Critical patent/CN101743341A/zh
Application granted granted Critical
Publication of CN101743341B publication Critical patent/CN101743341B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

本发明的实施例涉及一种整合基板边缘处理能力的基板处理系统。所述处理系统的实例包括但不限于一制造界面、一加载互锁真空室、一传送腔室以及一或多个双处理腔室,其中该双处理腔室具有两个或多个可彼此相互隔离,且共享同一气体供应件与同一排气泵的处理区域。在每一双处理腔室中的处理区域包含独立的气体分布组件与RF功率源,以在每一处理区域中基板表面上的选择区域提供等离子体。每一双处理腔室因而设置成能于处理区域中的至少两个基板上同时进行多个经隔离的处理。

Description

用于等离子体增强的化学气相沉积和斜边蚀刻的系统
发明背景
发明领域
本发明的实施方式大致涉及处理半导体基板的装置与方法。更具体地,本发明的实施方式涉及用以处理靠近基板边缘区域的装置与方法。
相关技术的说明
为了降低所有权的成本,半导体制造工艺可在一能高重复性平行处理多片基板的整合系统中进行。该整合系统通常包含制造界面、加载互锁真空室(load-lock chamber)以及适于利用化学气相沉积(CVD)或等离子体增强化学气相沉积(PECVD)工艺,在一个或多个基板上形成沉积膜的基板沉积腔室。
在CVD/PECVD期间,通常希望在整个基板上具有均匀的厚度轮廓,且在基板边缘区域附近无沉积。将与不希望产生沉积的边缘处相靠近的区域称为“边缘排除区域(edge exclusion)”。图1A是显示出希望于基板101上形成的沉积层102的轮廓的部分剖面图。沉积层102均匀地沉积在整个基板101的上表面上,且在边缘排除区域103内并无沉积。遗憾的是,实际的沉积轮廓通常与图1A所示的理想构造不同。图1B是显示出在经过CVD或PECVD沉积之后,基板101上的沉积层102a的实际表面轮廓的部分剖面图。沉积层102a一般延伸至边缘排除区域103,且可在靠近边缘排除区域103形成具有额外厚度的斜边104。
为了防止在基板边缘处沉积膜的形成,图1C是显示出一种提出采用遮蔽环(shadow ring)的常规方式的部分剖面图。遮蔽环105通常设置在重叠且覆盖基板101的至少部分边缘排除区域103的位置处。据此,如图1C所示,在遮蔽环105的遮蔽下,沉积层102b逐渐减少。
虽然目前使用遮蔽环105能在多达3.5mm宽的边缘排除区域内获得厚度的均匀性或其它所希望的边缘轮廓,但由于器件尺寸逐渐减小,因此对于厚度非均匀性,或其它所需要的轮廓特征的要求必须降低至2mm宽的边缘排除区域。由于边缘排除区域较小的缘故,采用遮蔽环105来防止在边缘区域处沉积的常规方法可能无法提供令人满意的效果。
因此,需要在不使用遮蔽环的情况下,以整合方式在基板上沉积薄膜且从基板边缘区域移除该薄膜的装置与方法。
发明内容
本发明描述了整合了基板边缘处理能力的基板处理装置与方法。于一实施方式中,一种装置包括加载互锁真空室、耦合至该加载互锁真空室的传送腔室、以及一或多个耦合至该传送腔室的双处理腔室,每一双处理腔室限定两个独立的处理区域。各处理区域包括一基板支撑件、一等离子体产生器以及一气体传送组件,其中该基板支撑件具有一基板支撑表面,该等离子体产生器配置为将一等离子相的蚀刻剂提供至该基板支撑表面的周边区域,以及该气体传送组件耦合至一气体源,其中该气体传送组件配置为在该基板支撑表面上产生一径向气流,而该径向气流从该基板支撑表面的约中央区域流向该基板支撑表面的周边区域。
另一实施方式中,一种基板处理装置,包括加载互锁真空室、耦合至该加载互锁真空室的传送腔室、一或多个双处理腔室以及耦合至该传送腔室的基板边缘处理腔室,其中该双处理腔室耦合至该传送腔室且适于执行沉积工艺。该基板边缘处理腔室包含一基板支撑件、一等离子体产生器以及一气体传送组件,其中该基板支撑件具有一基板支撑表面,该等离子体产生器配置为将等离子相的蚀刻剂提供至该基板支撑表面的周边区域,以及该气体传送组件耦合至一气体源,其中该气体传送组件配置为在该基板支撑表面上产生一径向气流,而该径向气流从该基板支撑表面的约中央区域流向该基板支撑表面的该周边区域。
附图简要说明
为了更详细地理解本发明前述特征,本发明示意总结如上的特定叙述可参照实施方式获致,而部分实施方式绘示于附图中。然而,应指出的是附图中仅绘示本发明典型的实施方式,故并非用以限制专利范围,本发明可容许其它等效实施方式。
图1A绘示在基板周边区域处所希望的沉积层轮廓。
图1B绘示在基板周边区域处实际获得的沉积层轮廓。
图1C绘示一种利用遮蔽环防止在基板周边区域处形成沉积膜的常规方式。
图2A为示出具有基板边缘处理能力的基板处理系统的一个实施方式的示意剖面图。
图2B为示出整合于图2A所示处理系统中的加载互锁真空腔室的一实施方式的部分剖面图。
图2C为示出双处理腔室的一实施方式的剖面图。
图3A为示出具有基板边缘处理能力的基板处理系统的一个实施方式的示意剖面图。
图3B为示出整合边缘蚀刻功能的基板处理系统的另一实施例的示意图。
图4为示出整合边缘蚀刻功能的基板处理系统的又一实施例的示意图。
图5为示出边缘蚀刻腔室的一实施方式的示意剖面图。
图6A为示出边缘蚀刻腔室的替代的实施方式的示意剖面图,其中该边缘蚀刻腔室具有彼此堆叠的两处理区域以平行处理至少两个基板。
图6B为示出边缘蚀刻腔室的替代实施方式的示意剖面图,其中该边缘蚀刻腔室与加载互锁真空腔室堆叠在一起。
图7A为边缘蚀刻装置的一实施方式的示意图。
图7B为边缘蚀刻装置的一实施方式的示意图。
图8为边缘蚀刻装置的一实施方式的示意图。
为了易于了解,尽可能地使用相同的组件符号代表图中相同的元件。而于一实施方式中所公开的元件可有益地用于其它实施方式而不需特别说明。
详细说明
在此所述实施方式涉及一种整合基板边缘处理能力的基板处理系统。更具体地,处理系统可操作以接收一或多片基板的装载、于各基板上形成沉积膜、以及蚀刻该沉积膜在基板边缘区域处的所选部分。处理系统的说明性实例包括,但不限于制造界面、加载互锁真空室、传送腔室、以及一或多个双处理腔室,该双处理腔室具有两个或更多个彼此相互隔离并共享同一气体供应件与排气泵的处理区域。在各双处理腔室中的处理区域包括独立的气体分布组件与RF功率源以在各处理区域中于基板表面的所选区域处提供等离子体。各双处理腔室因而配置成允许在处理区域中的至少两基板上同时进行多种经隔离的处理。
图2A为示出基板处理系统200的实施方式的示意图。处理系统200包括制造界面210、基板传送腔室270以及多个双处理腔室300,基板于该制造界面210处送入加载互锁真空室240中以及从加载互锁真空室240退出,基板传送腔室270装有用以装卸基板的机械手臂272,双处理腔室300连接至传送腔室270。处理系统200适于适应多种处理及支持腔室设备,例如CVD与蚀刻处理。下述实施方式将针对一种系统,所述系统能够执行PECVD以沉积包括非晶碳的先进构图膜(advanced patterning film),也能够蚀刻沉积在基板上的薄膜边缘部分。然而,应理解藉由在此所述的实施方式也能想到其它处理。
如图2A所示,制造界面210可包括基板卡匣213与基板装卸机械手臂215。各卡匣213含有准备要进行处理的基板。基板装卸机械手臂215可包含基板规划系统(substrate mapping system),以指示各卡匣213中的基板为将所述基板装载至加载互锁真空室240中而作准备。
图2B为示出加载互锁真空室240其中之一的实施方式的示意侧视图,其中该加载互锁真空室240整合于制造界面210与传送腔室270之间。各加载互锁真空室240在制造界面210与传送腔室270之间提供真空界面。各加载互锁真空室240可包含在加载互锁真空室240中堆叠的上基板支撑件242与下基板支撑件244。上基板支撑件242与下基板支撑件244可配置成在所述上基板支撑件242与下基板支撑件244上支撑送入与退出的基板。基板可经由狭缝阀246在制造界面210与各加载互锁真空室240间传送,以及经由狭缝阀248在各加载互锁真空室240与传送腔室270间传送。上基板支撑件242与下基板支撑件244可包括用于温度控制的特征,例如内建加热器或冷却器,以在传送期间加热或冷却基板。
再次参照图2A,传送腔室270包括基板装卸机械手臂272,可操作基板装卸机械手臂272以于加载互锁真空室240与双处理腔室300之间传送基板。更具体地,基板装卸机械手臂272可具有适以同时将两基板从一个腔室传送至另一个腔室的双基板装卸叶片274。基板可经由狭缝阀276在传送腔室270与双处理腔室300间传送。基板装卸机械手臂272的移动可由马达驱动系统(未示出)控制,而马达驱动系统可包括伺服电动机或步进电动机。
图2C为双处理腔室300的一个实施方式的示意剖面图。双处理腔室300连接至传送腔室且包括两个处理区域302,于该两个处理区域302中,各个基板304可同时进行沉积与边缘蚀刻处理。各处理区域302具有部分界定出处理容积316的墙壁312与底部314,而由第一与第二气体源306与308所提供的处理气体,可分别经由第一与第二入口埠307与309导入处理容积316中。可经由形成于墙壁312中的进入埠(未示出)进入处理容积316,该进入埠有助于基板304进出各处理区域302的移动。墙壁312与底部314可由单一块铝或其它适于处理的材料所制成。墙壁312支撑盖组件322且还包括衬垫(liner)324组件,可利用真空泵(未示出)经由衬垫324沿着处理容积316周边均匀地抽空处理区域302。
基板支撑组件330可设置在各工艺区域302中央。在一实施方式中,可控制支撑组件330的温度。在处理期间,支撑组件330可支撑基板304。在一实施方式中,支撑组件330包括铝制支撑底座332,支撑底座332可封装至少一个埋设的加热器334,可操作加热器334以将支撑组件330与设置于支撑组件上的基板304可控制地加热至预定温度。在一实施方式中,可操作支撑组件330以将基板304的温度维持在约摄氏150度和1000度之间,视被处理材料的工艺参数而定。
各支撑底座332可具有上侧336A与下侧336B。支撑基板304的上侧336A具有小于基板304的表面积,故基板304的周边边缘区域仍未与支撑底座332接触以促进其处理(例如蚀刻或清洁)。下侧336B可具有与之耦合的杆338。杆338将支撑组件330耦合至升降系统340以在上升处理位置与下降位置之间垂直移动支撑组件330,帮助基板传送至处理区域302与从处理区域302传送出。杆338另外提供导管用于支撑组件330与腔室300其它构件间的电与热耦合引线。风箱342可耦合在杆338与各处理区域302的底部314间。风箱342提供处理容积316与各处理区域302外侧的大气间的真空密封,并帮助支撑组件330的垂直移动。
为了促进基板304的传送,各支撑底座332也具有多个开口346,而升降梢348穿过开口346而可移动地装设。升降梢348可操作地在第一位置与第二位置间移动。如图2C所示,第一位置使基板304放置在支撑底座332的上侧336A上。第二位置(未示出)将基板304升举至支撑底座332上方,故可将基板304传送至从进入埠(未示出)进来的基板装卸机械手臂。可由可移动的板350驱动升降梢348的向上/向下移动。
各支撑组件330还可包括中央对准机构360,其可操作以将基板304相对于垂直于支撑底座332的基板支撑面的垂直参考轴Z进行中央对准。中央对准机构360包含三个或更多个定位在支撑底座332周边的可移动中央对准指状物362,以及放置在指状物362下方的对向板364。每个指状物362枢接地安装在支撑底座332上。对向板364与支撑底座332是相对地可移动的,从而对向板364可在释放位置接触且枢轴转动指状物362,并在中央对准位置从指状物362松脱。
在一个实施方式中,对向板364可为固定的,而支撑底座332与对向板364间的相对移动则是因支撑底座332的垂直移动所致。当支撑组件330处于图2C所示的上升位置时,指状物362啮合在基板304的周边边缘上以将基板304对准中央,而当支撑组件330处于下降位置时(未示出),指状物362从基板304的周边边缘松开。类似中央对准组件的详细描述可见于在2007年10月26日递交的美国临时专利申请序列号60/982,961,名称为“APPARATUS ANDMETHOD FOR CENTERING A SUBSTRATE IN A PROCESS CHAMBER”(代理人案号11997L02),其以引用方式并入本文。
盖组件322提供各处理区域302中的处理容积316的上边界。可将盖组件322移除或开启以维修处理区域302。在一个实施方式中,盖组件322以铝制成。
气体传送组件耦合至各处理区域302中的盖组件322内侧。气体传送组件包括连接至可开关切换的功率源372的气体碗(gas bowl)370,该功率源372可操作以选择地施加多种偏压(包括RF偏压、DC偏压、AC偏压或接地电压)至气体碗370。气体碗370具有外壁374、内壁376与底部378。底部378的形状可配置成大致依循在各处理区域302中进行处理的基板304的轮廓。各气体碗370包括经由第一入口埠307耦合至第一气体源306的第一气体分布路线,以及经由第二入口埠309耦合至第二气体源308的第二气体分布路线。
第一气体分布路线包括部分界定于外壁374、内壁376与底部378间的第一空间380A,以及包括多个穿过底部378周边边缘区域而形成且与第一空间380A相连的狭缝382。于一实施方式中,狭缝382可向外呈一角度,以避免气流向基板304的中央部分。
第二气体分布路线包括由第一空间380A围绕的第二空间380B。连接至第二入口埠309的第二空间380B部分界定于内壁376与底部378的穿孔部分384间。穿孔部分384包括多个孔洞386,空间380B中所接收的气体通过孔洞386流入处理容积316中。
为了蚀刻在基板304的边缘区域的沉积膜,各处理区域302也包括设置成与外壁374相邻的等离子体产生器,以撞击在基板304周边边缘区域中的等离子体。等离子体产生器包括夹置于绝缘材料390间的电极388,其中绝缘材料390设置成与气体碗370的外壁374相邻。电极388耦合至RF功率源392,且包括定位在靠近外壁374与支撑底座322边缘部分的倾斜端394。
依上述配置的各处理区域302可能选择地以沉积模式或边缘蚀刻模式操作。
在操作的沉积模式中(例如PECVD),空间380B从第二气体源308接收处理气体,而基板304则被加热。当支撑组件330接地时,可开关切换的功率源372将RF偏压施加至气体碗370,进而从经由孔洞386导入支撑组件330与气体碗370间的处理容积316中的处理气体产生等离子体。整个基板304因而暴露于等离子体中且进行处理,例如在基板304上沉积一薄膜。可依据形成于基板304上的沉积膜类型,设定来自可开关切换的功率源372的RF功率、处理气体的组成以及施加在基板304上的热条件。
在一个实施方式中,沉积膜可包括含有非晶碳的先进构图薄膜(AdvancedPatterning Film,“APF”)。当将含有作为碳源的丙烯(C3H6)的处理气体导入处理容积316中时,可在约200℃和1500℃间的基板温度下沉积APF。可选地,处理气体可含有其它化学元素以包含于APF中,例如氮气(N2)与掺杂元素。可以约13.56MHz的频率将约500W到约1500W间的RF功率施加于腔室中。利用PECVD形成APF条件的详细描述及其使用描述于美国专利No.7,262,106,名称为“Absorber Layer for DSA Processing”,其以引用方式并入本文。
一旦完成沉积膜的形成后,可使用蚀刻功能移除基板304的边缘区域中不想要的部分沉积膜。在操作的边缘蚀刻模式中,第一容积380A经由第一入口埠307接收来自第一气体源306的蚀刻气体。蚀刻气体的实例可包括但不限于NF3、O2、F2或SF6。同时,可将气体碗370与支撑底座332接地,因而施加给电极388的RF功率激发经由靠近斜端394、支撑底座332与气体碗370之间的狭缝382而导入的蚀刻气体。可设定倾斜端394的倾斜角度,以便促进从狭缝382传送的蚀刻气体的离子化。等离子相的蚀刻剂进而形成于基板304的边缘区域附近内。
为了防止蚀刻剂朝基板304的中央区域扩散,第二气体源308提供经由第二气体分布路线传送的惰性气体,且惰性气体在基板304的上表面上从中央区域径向地流向周边边缘区域。惰性气体的径向流动能将蚀刻剂的作用区域限制在基板304的周边区域。
虽然处理系统300的一个特定施行例示已于前文说明,也可能有不同的实施方式。例如,另一实施方式可于各处理区域302内侧远离基板边缘处(例如整合于气体碗370中)限定出等离子体容积(plasma volume)。等离子体容积接收一工艺气流,当工艺气流通过接地与放置在等离子体容积中的RF(射频)电极间,且在被传送到基板304的边缘区之前时,会被激发。其它实施方式中,等离子体可由位于各处理区域302外侧的远程等离子体源提供。用以处理基板边缘的类似处理系统的实例描述于2007年10月26日递交的美国临时专利申请案序列号60/982,993,名称为“APPARATUS AND METHOD FOR PROCESSINGA SUBSTRATE EDGE REGION”(代理人案号11997.L03),其以引用方式并入本文。
如已于上文中描述,处理系统200因而能整合基板边缘处理能力,以同时处理多片基板。虽然图2A所示的处理系统的各双处理腔室描述成含有沉积与蚀刻能力两者,但其它处理系统可整合处理腔室的多种组合,其中边缘处理功能可在独立的腔室中执行。具有执行边缘处理功能的独立处理腔室的基板处理系统实例,则于下文中结合图3A、图3B与图4进行描述。
图3A为基板处理系统200a的另一实施方式的示意图。如图2A所示的实施方式,处理系统200a包括制造界面210和基板传送腔室270,基板于该制造界面210处装载至加载互锁真空室240a、240b中或从加载互锁真空室240a、240b卸除,而基板传送腔室270装有用以装卸基板的机械手臂272。然而,不同于整合沉积与边缘蚀刻处理两者的双处理腔室,系统200a包含仅执行边缘蚀刻处理的双处理腔室。更具体地,双处理腔室420配置成执行边缘蚀刻处理。
双处理腔室420接收已于双处理腔室410中处理过的基板。经处理的基板具有边缘区域,该边缘区域处的部分沉积膜将于双处理腔室420中蚀刻去除。双处理腔室420的合适结构可类似于图2C所示的双处理腔室。适于处理基板边缘区域的双处理腔室420替代实施方式的实例描述于2007年10月26日提交的美国临时专利申请案序号60/982,993,名称为“APPARATUS AND METHODFOR PROCESSING A SUBSTRATE EDGE REGION”(代理人案号11997.L03),其以引用方式并入本文。举例而言,如本案图3A-3C所示,用以在基板边缘区域处产生等离子体蚀刻剂的等离子体产生器可并入处理腔室的气体分布组件中。于气体分布组件内侧形成的等离子体蚀刻剂随后可经由气体分布板传送至基板的边缘区域。在本案图2A与图2B所示的替代实施方式中,等离子体蚀刻剂可由远程等离子体源提供,并经由气体分布组件传送至基板的边缘区域。
在一个实施方式中,处理系统200a可藉由从制造界面210将基板装载至加载互锁真空室240a中而操作,以处理多个基板。基板装卸机械手臂272随后可将基板从加载互锁真空室240a传送至双处理腔室420中以进行边缘蚀刻。在完成所有必要的半导体工艺后,基板装卸机械手臂272最后可将经处理的基板经由加载互锁真空室240b送出真空环境至制造界面210。
图3B为基板处理系统400的另一实施方式的示意图。如图2A所示实施方式,处理系统400包含制造界面210和基板传送腔室270,基板于该制造界面210处装载至加载互锁真空室240a、240b中或从加载互锁真空室240a、240b卸除,基板传送腔室270装有用以装卸基板的机械手臂272。然而,不同于整合沉积与边缘蚀刻处理两者的双处理腔室,系统400包括分别执行沉积与边缘蚀刻处理的双处理腔室。更具体地,双处理腔室410配置成执行沉积处理,而双处理腔室420配置成执行边缘蚀刻处理。
各双处理腔室410配置成执行CVD处理,以于基板上形成不同的沉积膜。各双处理腔室410可具有两个或更多个处理区域,该些处理区域可彼此相互隔离,并共享同一气体供应件与同一排气泵。在各双处理腔室410中的处理区域包含独立的气体分布组件与RF功率源,以在各处理区域中,在基板表面上提供均匀的等离子体密度。各双处理腔室410因而配置成能在处理区域中的至少两基板上,同时进行多个隔离的处理程序。适于沉积处理的双处理腔室的实例公开于美国专利No.5,855,681,名称为“ULTRA HIGH THROUGHPUT WAFERVACUUM PROCESSING SYSTEM”,其以引用方式并入本文。
在一个实施方式中,处理系统400可藉由将基板从制造界面210装载至加载互锁真空室240a中而操作,以处理多个基板。基板装卸机械手臂272之后可将基板从加载互锁真空室240a传送至一个双处理腔室410中以进行一种或多种沉积处理。为了移除基板的边缘区域处不想要的部分沉积膜,基板装卸机械手臂272可将经处理的基板从双处理腔室410中的其中之一传送至双处理腔室420,以进行边缘蚀刻。在完成所有必要的半导体工艺后,基板装卸机械手臂272最后可将经处理的基板经由加载互锁真空室240b送出真空环境至制造界面210。
图4为基板处理系统500的另一实施方式的示意图。处理系统500与图3所示的实施方式不同之处在于其整合了多个执行沉积处理的双处理腔室410,例如三个双处理腔室410而非图3B的实施方式的两个双处理腔室。基板边缘处理功能则在设置于传送腔室270与制造界面210间的独立边缘蚀刻腔室430中执行。基板可经由狭缝阀432从传送腔室270装载至边缘蚀刻腔室430中。在一个实施方式中,经蚀刻的基板可经由狭缝阀434从边缘蚀刻腔室430送至制造界面210。
于一实施方式中,边缘蚀刻腔室430与加载互锁真空室240可垂直地堆叠在一起,类似于图6B所示的边缘蚀刻-加载互锁真空组合。
图5示出边缘蚀刻腔室430的一个实施方式的示意剖面图。边缘蚀刻腔室430可包括适于支撑基板452的基板支撑件470。基板支撑件470则耦合至可垂直移动以装载或卸下基板452的杆454。边缘蚀刻腔室430的侧壁456则具有耦合至真空泵460的衬垫458,以抽空腔室430的内部体积。盖组件462向上地限定出腔室430的内部体积。盖组件462的内侧耦合至具有外壁466、内壁468与底部450的气体分布碗464。底部450的周边区域则包括多个狭缝472,其用以将等离子相的蚀刻剂,从远程等离子体源(remote plasma source,RPS)474传送到基板452的边缘区域。气体导管476由内壁468所界定并开通至底部450的中央区域。气体导管476耦合至清洁气体源478,以朝基板452的中央区域输送惰性气体。沿气体导管476所提供的气流则配置成从中央至边缘,以保护基板452未经处理的区域。前文与边缘蚀刻腔室430其它实施方式的更详细描述可参见于2007年10月26日递交的美国临时专利申请案序号60/982,993,名称为“APPARATUS AND METHOD FOR PROCESSING ASUBSTRATE EDGE REGION”(代理人案号11997.L03),其以引用方式并入本文。
再次参照图4,在操作中,处理系统500可装载多个基板以从制造界面210进入加载互锁真空室240进行处理。基板装卸机械手臂272之后可将基板从加载互锁真空室240传送至双处理腔室410其中之一中以进行一个或多个沉积处理。为了移除形成在基板边缘区域处不想要的部分沉积膜,基板装卸机械手臂272可将经处理的基板从一双处理腔室410传送至边缘蚀刻腔室430中,以进行边缘蚀刻。在边缘处理操作完成之后,经处理的基板可传送回双处理腔室410其中之一,以进行进一步的沉积,或经由加载互锁真空室240送至制造界面210。
值得一提的是可将边缘蚀刻腔室配置成平行处理一个或多个基板。图6A为边缘蚀刻腔室480的替代实施方式的示意剖面图,其具有两相互堆叠以平行处理至少两个基板452的处理区域486。各处理区域486可配置成与图5所示的边缘蚀刻腔室430内部相似,并具有一个基板支撑件470与一个气体碗464。各气体碗464具有狭缝472,狭缝472适于将等离子体蚀刻剂传送至基板边缘区域,以移除其上的部分沉积膜。
图6B显示出边缘蚀刻-加载互锁真空组合480a的示意剖面图,其具有一堆叠于加载互锁真空区域486a上方的处理区域486。处理区域配置成与图5所示的边缘蚀刻腔室430内部相似,并具有一个基板支撑件470与一个气体碗464。各气体碗464具有狭缝472,狭缝472适于将等离子体蚀刻剂传送至基板边缘区域,以移除其上的部分沉积膜。边缘蚀刻-加载互锁真空组合480a可定位于制造界面与传送腔室之间。
于替代实施方式中,基板边缘蚀刻功能也可仅以边缘蚀刻装置的形式提供,其中边缘蚀刻装置由制造界面与独立边缘蚀刻腔室所构成,如图7A、7B与8所示。
图7A中,边缘蚀刻装置602包括制造界面604与独立边缘蚀刻腔室606。待处理的基板从制造界面604装载至边缘蚀刻腔室606中以进行边缘蚀刻。一旦经处理,基板接着可从边缘蚀刻腔室606卸下回到制造界面604。
图7B中,边缘蚀刻装置608包括经由加载互锁真空腔室610连接至独立边缘蚀刻腔室606的制造界面604。
图8绘示了边缘蚀刻装置612的另一实施方式,其中双边缘蚀刻腔室614耦合至制造界面604。双边缘蚀刻腔室614具有配制成用以平行处理至少两个基板的两处理区域。在各处理区域中进行处理的各片基板可分别地从处理接口604进行装载或卸除。
如前文所述,在此所述的处理系统与方法因而能整合基板边缘处理能力,以同时处理多片基板。因此,可有利地获得与基板边缘处理能力结合的高产出处理。
尽管上文已揭示本发明之部分实施方式,在不脱离本发明之精神和范围下,也可获致本发明其它或进一步的实施方式,且其范围由下述权利要求所界定。

Claims (12)

1.一种处理多片基板的装置,包括:
一加载互锁真空室;
一传送腔室,耦合至所述加载互锁真空室;以及
一个或多个双处理腔室,耦合至所述传送腔室,其中各双处理腔室限定两个独立的处理区域,且各处理区域包括:
一基板支撑件,具有基板支撑表面;
一等离子体产生器,经配置以将等离子相的蚀刻剂提供至所述基板支撑表面的周边区域;以及
一气体传送组件,包括连接至可开关切换的功率源的气体碗,其中所述气体碗包括:
外壁;
内壁;以及
底部,其中所述外壁、所述内壁以及所述底部形成第一空间,所述第一空间经由第一入口埠而与第一气体源连接,穿过所述底部的周边边缘区域形成有多个狭缝,所述多个狭缝将所述第一空间与所述处理区域相连,所述内壁和所述底部的穿孔部分形成第二空间,所述第二空间被所述第一空间围绕,所述第二空间经由第二入口埠而与第二气体源连接,并且穿过所述底部的所述穿孔部分形成有多个孔洞,所述多个孔洞将所述第二空间与所述处理区域相连。
2.如权利要求1的装置,其中所述等离子体产生器包括:
一第一电极;以及
一第二电极,所述第二电极与所述第一电极分隔,其中所述第一电极与所述第二电极间的距离依不同位置而不同。
3.如权利要求2的装置,其中所述气体传送组件的所述第一空间经由所述第一入口埠接收来自所述第一气体源的蚀刻气体,以将蚀刻气体供至所述等离子体产生器。
4.如权利要求2的装置,其中所述第一电极耦合至射频功率偏压,而所述第二电极耦合至接地电压、DC偏压或AC偏压之一。
5.如权利要求2的装置,其中至少所述第一电极包含一倾斜部分。
6.如权利要求2的装置,其中所述第二电极设置在所述气体传送组件的一部分上。
7.如权利要求2的装置,其中所述第二电极设置在所述基板支撑件上。
8.如权利要求2的装置,其中所述等离子体产生器设置在所述气体传送组件的外侧。
9.如权利要求1的装置,其中所述第二气体源更配置成经由所述气体传送组件提供处理气体,以于设置在各处理区域中的基板上形成沉积膜。
10.一种处理多片基板的装置,包括:
一加载互锁真空室;
一传送腔室,耦合至所述加载互锁真空室;
一个或多个双处理腔室,适于执行沉积处理,其中所述一个或多个双处理腔室耦合至所述传送腔室;以及
一基板边缘处理腔室,耦合至所述传送腔室,其中所述基板边缘处理腔室和所述加载互锁真空室垂直地堆叠在一起,并且所述基板边缘处理腔室包括:
一基板支撑件,具有用于承载基板的基板支撑表面;
一远程等离子体源,经配置以将等离子相的蚀刻剂提供至所述基板支撑表面的周边区域;以及
一气体传送组件,包括气体分布碗,其中所述气体分布碗包括:
外壁;
内壁;以及
底部,其中所述底部的周边区域具有多个狭缝,所述多个狭缝配置为将等离子相的蚀刻剂从所述远程等离子体源输送到所述基板的边缘区域,气体导管由所述内壁界定并开通至所述底部的中央区域,所述气体导管耦合至清洁气体源,以朝所述基板的中央区域输送惰性气体。
11.如权利要求10的装置,其中所述气体传送组件更耦合至一蚀刻气体源,以将蚀刻气体供至所述远程等离子体源。
12.如权利要求10的装置,其中所述基板边缘处理腔室系设置在所述传送腔室与一制造界面之间。
CN2008800244367A 2007-07-12 2008-07-09 用于等离子体增强的化学气相沉积和斜边蚀刻的系统 Expired - Fee Related CN101743341B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US94939707P 2007-07-12 2007-07-12
US60/949,397 2007-07-12
US98296107P 2007-10-26 2007-10-26
US98299307P 2007-10-26 2007-10-26
US60/982,961 2007-10-26
US60/982,993 2007-10-26
US12/106,881 2008-04-21
US12/106,881 US8197636B2 (en) 2007-07-12 2008-04-21 Systems for plasma enhanced chemical vapor deposition and bevel edge etching
PCT/US2008/069551 WO2009009611A2 (en) 2007-07-12 2008-07-09 Systems for plasma enhanced chemical vapor deposition and bevel edge etching

Publications (2)

Publication Number Publication Date
CN101743341A CN101743341A (zh) 2010-06-16
CN101743341B true CN101743341B (zh) 2013-09-18

Family

ID=40229465

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800244367A Expired - Fee Related CN101743341B (zh) 2007-07-12 2008-07-09 用于等离子体增强的化学气相沉积和斜边蚀刻的系统

Country Status (5)

Country Link
US (2) US8197636B2 (zh)
KR (1) KR101354571B1 (zh)
CN (1) CN101743341B (zh)
TW (1) TW200926285A (zh)
WO (1) WO2009009611A2 (zh)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5903429B2 (ja) * 2010-04-30 2016-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 縦型インラインcvdシステム
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120088370A1 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103578904B (zh) * 2012-07-18 2016-05-25 中微半导体设备(上海)有限公司 一种用于多腔室等离子处理装置的减少颗粒污染的方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10847391B2 (en) * 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150030771A1 (en) * 2013-07-24 2015-01-29 Applied Materials, Inc. Cobalt substrate processing systems, apparatus, and methods
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
CN104616956B (zh) * 2013-11-05 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备及方法
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN105742183B (zh) * 2014-12-10 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10337105B2 (en) * 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202001977A (zh) * 2018-06-08 2020-01-01 財團法人工業技術研究院 處理基板邊緣缺陷之電漿系統及使用此系統之處理方法
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102581541B1 (ko) 2019-07-22 2023-09-21 삼성전자주식회사 웨이퍼 측정 장치
KR20210024319A (ko) 2019-08-21 2021-03-05 삼성전자주식회사 웨이퍼 검사장치
US11139168B2 (en) 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004631A (en) * 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657618A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Powered load lock electrode/substrate assembly including robot arm, optimized for plasma process uniformity and rate
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08225947A (ja) 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
JP3831043B2 (ja) * 1997-01-24 2006-10-11 東京エレクトロン株式会社 回転処理装置
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6167893B1 (en) * 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
TW452917B (en) * 1999-10-29 2001-09-01 Winbond Electronics Corp Holder
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100558925B1 (ko) * 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
WO2009009607A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for processing a substrate edge region

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004631A (en) * 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control

Also Published As

Publication number Publication date
TW200926285A (en) 2009-06-16
CN101743341A (zh) 2010-06-16
US20090014127A1 (en) 2009-01-15
US20120211164A1 (en) 2012-08-23
US8197636B2 (en) 2012-06-12
KR101354571B1 (ko) 2014-01-23
WO2009009611A2 (en) 2009-01-15
KR20100035177A (ko) 2010-04-02

Similar Documents

Publication Publication Date Title
CN101743341B (zh) 用于等离子体增强的化学气相沉积和斜边蚀刻的系统
CN101689492B (zh) 处理基板边缘区域的装置与方法
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
KR100929279B1 (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
US6527911B1 (en) Configurable plasma volume etch chamber
US8435902B2 (en) Invertable pattern loading with dry etch
US20030176074A1 (en) Tandem etch chamber plasma processing system
JP2007533139A (ja) インサイチュ膜スタック処理のための方法及び装置
KR20170007411A (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US20180135180A1 (en) Apparatus for depositing a cobalt layer using a carousel batch deposition reactor
CN107516626B (zh) 用于原位晶片边缘和背侧等离子体清洁的系统和方法
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
CN111354657A (zh) 半导体多站处理腔体
JP2023545532A (ja) 粒子制御のためのチャンバ構成及びプロセス
TWI790507B (zh) 用於處理腔室的多區域流動氣箱
KR102414099B1 (ko) 기판 처리 시스템 및 이를 이용한 박막 증착 방법
US11682544B2 (en) Cover wafer for semiconductor processing chamber
KR20230159579A (ko) 균일한 인-시튜 세정 및 증착

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130918

Termination date: 20150709

EXPY Termination of patent right or utility model