KR20220160687A - 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링 - Google Patents

튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링 Download PDF

Info

Publication number
KR20220160687A
KR20220160687A KR1020227038261A KR20227038261A KR20220160687A KR 20220160687 A KR20220160687 A KR 20220160687A KR 1020227038261 A KR1020227038261 A KR 1020227038261A KR 20227038261 A KR20227038261 A KR 20227038261A KR 20220160687 A KR20220160687 A KR 20220160687A
Authority
KR
South Korea
Prior art keywords
gases
substrate
gas
edge ring
injection ports
Prior art date
Application number
KR1020227038261A
Other languages
English (en)
Inventor
요한 시퍼새드
라이언 비즈
존 홀랜드
레오니드 벨라우
아담 크리스토퍼 메이스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220160687A publication Critical patent/KR20220160687A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템을 위한 에지 링은 환형 바디 (annular body) 및 환형 바디의 내경을 따라 원주 방향으로 (circumferentially) 환형 바디 내에 배치된 환형 채널을 포함한다. 환형 채널은 N 개의 별개의 섹션들을 포함하고, 여기서 N은 1보다 보다 큰 정수이다. 에지 링은 환형 채널의 N 개의 별개의 섹션들 내로 하나 이상의 가스들을 각각 주입하기 위해 환형 바디 상에 원주 방향으로 배치된 N 개의 주입 포트들을 포함한다. 에지 링은 환형 바디의 내경으로부터 방사상으로 내향으로 연장하는 플랜지 (flange) 를 포함한다. 복수의 슬릿들이 플랜지 내에 배치된다. 슬릿들은 환형 채널과 유체로 연통하고 (in fluid communication) 그리고 하나 이상의 가스들을 전달하기 위해 환형 채널로부터 방사상으로 내향으로 연장한다.

Description

튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링
본 개시는 일반적으로 기판 프로세싱 시스템들, 보다 구체적으로 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템은 통상적으로 반도체 웨이퍼들과 같은 기판들의 증착, 에칭 및 다른 처리들을 수행하기 위한 복수의 프로세싱 챔버들 (또한 프로세스 모듈들로 지칭됨) 을 포함한다. 기판 상에서 수행될 수도 있는 프로세스들의 예들은 이로 제한되는 것은 아니지만, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD), 화학적 강화된 플라즈마 기상 증착 (chemically enhanced plasma vapor deposition; CEPVD) 및 스퍼터링 (sputtering) 물리적 기상 증착 (physical vapor deposition; PVD), 원자 층 증착 (atomic layer deposition; ALD), 및 플라즈마 강화된 ALD (PEALD) 를 포함한다. 기판 상에서 수행될 수도 있는 프로세스들의 부가적인 예들은 이로 제한되는 것은 아니지만, 에칭 (예를 들어, 화학적 에칭, 플라즈마 에칭, 반응성 이온 에칭, 등) 프로세스 및 세정 프로세스를 포함한다.
프로세싱 동안, 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 페데스탈, 정전 척 (electrostatic chuck; ESC), 등과 같은 기판 지지부 상에 배치된다. 컴퓨터-제어된 로봇은 통상적으로 기판들이 프로세싱되는 시퀀스로 일 프로세싱 챔버로부터 또 다른 프로세싱 챔버로 기판들을 이송한다. 증착 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입되고, 그리고 플라즈마는 화학 반응들을 활성화하도록 (activate) 스트라이킹된다 (strike). 에칭 동안, 에칭 가스들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입되고, 그리고 플라즈마는 화학 반응들을 활성화하도록 스트라이킹된다. 프로세싱 챔버들은 프로세싱 챔버 내로 세정 가스를 공급하고 플라즈마를 스트라이킹함으로써 주기적으로 세정된다.
관련 출원들에 대한 교차 참조
본 출원은 2020년 4월 2일에 출원된 미국 특허 가출원 번호 제 63/004,132 호 및 2020년 6월 19일에 출원된 미국 특허 가출원 번호 제 63/041,694 호의 이익을 주장한다. 상기 참조된 출원들의 전체 개시들은 참조로서 본 명세서에 인용된다.
기판 프로세싱 시스템을 위한 에지 링은 환형 바디 (annular body) 및 환형 바디의 내경을 따라 원주 방향으로 (circumferentially) 배치된 환형 채널을 포함한다. 환형 채널은 N 개의 별개의 섹션들을 포함하고, 여기서 N은 1보다 보다 큰 정수이다. 에지 링은 환형 채널의 N 개의 별개의 섹션들 내로 하나 이상의 가스들을 각각 주입하기 위해 환형 바디 상에 원주 방향으로 배치된 N 개의 주입 포트들을 포함한다. 에지 링은 환형 바디의 내경으로부터 방사상으로 내향으로 연장하는 플랜지 (flange) 를 포함한다. 복수의 슬릿들이 플랜지 내에 배치된다. 슬릿들은 환형 채널과 유체로 연통하고 (in fluid communication) 그리고 하나 이상의 가스들을 전달하기 위해 환형 채널로부터 방사상으로 내향으로 연장한다.
또 다른 특징에서, 복수의 슬릿들은 기판 프로세싱 시스템에서 기판의 프로세싱 동안 기판 지지 어셈블리의 상부 주변부 (periphery) 로 그리고 기판 지지 어셈블리 상에 배치된 기판의 외측 에지 아래로 하나 이상의 가스들을 전달하도록 구성된다.
또 다른 특징에서, 환형 채널은 환형 채널을 N 개의 별개의 섹션들로 분할하는 (partition) N 개의 분할 블록들을 포함한다.
다른 특징들에서, N 개의 주입 포트들은 서로 등거리에 있고, 그리고 N 개의 분할 블록들 각각은 N 개의 주입 포트들 중 2 개의 주입 포트들 사이에 배치되고 그리고 N 개의 주입 포트들 중 2 개의 주입 포트들로부터 등거리에 있다.
또 다른 특징에서, 환형 바디의 상부 표면의 외측 부분은 기판 프로세싱 시스템의 배기 포트에 근접하다.
또 다른 특징에서, 에지 링은 실리콘 및 실리콘 카바이드 중 적어도 하나로 이루어진다.
또 다른 특징들에서, 시스템은 N 개의 주입 포트들을 갖는 에지 링을 포함하고, 여기서 N은 1보다 보다 큰 정수이고, 그리고 에지 링은 하나 이상의 가스들을 선택적으로 전달하도록 구성된다. 시스템은 N 개의 주입 포트들로 하나 이상의 가스들을 공급하도록 구성된 가스 전달 시스템을 포함한다.
다른 특징들에서, 에지 링은 에지 링의 내경을 따라 원주 방향으로 배치된 환형 채널을 포함한다. 환형 채널은 N 개의 별개의 섹션들을 포함한다. N 개의 주입 포트들은 하나 이상의 가스들을 환형 채널의 N 개의 별개의 섹션들 내로 각각 주입하기 위해 에지 링 상에 원주 방향으로 배치된다. 에지 링은 에지 링의 내경으로부터 방사상으로 내향으로 연장하는 플랜지를 포함한다. 복수의 슬릿들이 플랜지 내에 배치된다. 슬릿들은 환형 채널과 유체로 연통하고 그리고 하나 이상의 가스들을 전달하기 위해 환형 채널로부터 방사상으로 내향으로 연장한다.
또 다른 특징에서, 복수의 슬릿들은 기판의 프로세싱 동안 기판 지지 어셈블리의 상부 주변부로 그리고 기판 지지 어셈블리 상에 배치된 기판의 외측 에지 아래로 하나 이상의 가스들을 전달하도록 구성된다.
다른 특징들에서, 환형 채널은 환형 채널을 N 개의 별개의 섹션들로 분할하는 N 개의 분할 블록들을 포함한다. N 개의 주입 포트들은 서로 등거리에 있다. N 개의 분할 블록들 각각은 N 개의 주입 포트들 중 2 개의 주입 포트들 사이에 배치되고 그리고 N 개의 주입 포트들 중 2 개의 주입 포트들로부터 등거리에 있다.
또 다른 특징에서, 가스 전달 시스템은 하나 이상의 가스들로부터 동일한 가스를 N 개의 주입 포트들로 공급한다.
또 다른 특징에서, 가스 전달 시스템은 동일한 플로우 레이트로 하나 이상의 가스들로부터 동일한 가스를 N 개의 주입 포트들로 공급한다.
또 다른 특징에서, 가스 전달 시스템은 상이한 플로우 레이트들로 하나 이상의 가스들로부터 동일한 가스를 N 개의 주입 포트들로 공급한다.
또 다른 특징에서, 가스 전달 시스템은 하나 이상의 가스들로부터 M 개의 가스들을 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N이다.
또 다른 특징에서, 가스 전달 시스템은 동일한 플로우 레이트로, 하나 이상의 가스들로부터 M 개의 가스들을 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N이다.
또 다른 특징에서, 가스 전달 시스템은 상이한 플로우 레이트들로 하나 이상의 가스들로부터 M 개의 가스들을 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N이다.
또 다른 특징에서, 하나 이상의 가스들은 반응성 가스 및 불활성 가스 중 하나 이상을 포함한다.
또 다른 특징에서, 시스템은 아랫면 (underside) 을 갖는 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함한다. 하나 이상의 가스들은 반도체 웨이퍼의 아랫면에 근접한 영역으로 전달된다.
또 다른 특징에서, 하나 이상의 가스들은 프로세싱 동안 반도체 웨이퍼의 아랫면 상에 축적된 에칭 부산물을 제거한다.
또 다른 특징에서, 시스템은 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함한다. 하나 이상의 가스들은 반도체 웨이퍼의 주변부에 근접하게 전달되어 방사상 확산을 감소시키고 에지 방사상 균일도를 개선한다.
또 다른 특징에서, 시스템은 하나 이상의 컴포넌트들을 갖는 프로세싱 챔버를 더 포함한다. 하나 이상의 가스들은 하나 이상의 컴포넌트들 중 적어도 하나를 프리-코팅한다 (pre-coat).
또 다른 특징에서, 시스템은 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함한다. 하나 이상의 가스들은 반도체 웨이퍼의 주변부 아래에 그리고 에지 링과 기판 지지 어셈블리 사이에 확산된 라디칼들을 희석하도록 희석 존 (zone) 을 제공한다.
또 다른 특징에서, 시스템은 아랫면을 갖는 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함한다. 하나 이상의 가스들은 반도체 웨이퍼의 아랫면 상에 링을 형성하도록 사용된다. 링은 반도체 웨이퍼가 기판 지지 어셈블리 상에 센터링되었는지 (center) 여부를 결정하도록 사용된다.
또 다른 특징에서, 시스템은 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함한다. 하나 이상의 가스들은 반도체 웨이퍼의 주변부 아래의 기판 지지 어셈블리의 영역을 세정한다.
다른 특징들에서, 가스 전달 시스템은 하나 이상의 가스들을 공급하기 위한 복수의 가스 소스들, 및 복수의 가스 소스들 및 N 개의 주입 포트들과 연관된 복수의 밸브들을 포함한다. 시스템은 하나 이상의 플로우 레이트들로 하나 이상의 가스들을 N 개의 주입 포트들로 선택적으로 공급하도록 복수의 밸브들을 제어하도록 구성된 제어기를 더 포함한다.
또 다른 특징들에서, 방법은 프로세싱 챔버의 기판 지지 어셈블리 둘레에 에지 링을 배치하는 단계를 포함한다. 에지 링은 N 개의 별개의 섹션들로 분할된 환형 채널을 포함하고, 여기서 N은 1보다 보다 큰 정수이다. 방법은 에지 링 상에 원주 방향으로 배치된 N 개의 주입 포트들을 통해 환형 채널의 N 개의 별개의 섹션들로 각각 하나 이상의 가스들을 공급하는 단계를 포함한다. 방법은 프로세싱 챔버에서 기판의 프로세싱 동안 에지 링의 내경으로부터 기판 지지 어셈블리의 상부 주변부로 그리고 기판 지지 어셈블리 상에 배치된 기판의 외측 에지 아래로 방사상으로 내향으로 연장하는 플랜지 내의 슬릿들을 통해 하나 이상의 가스들을 전달하는 단계를 포함한다.
다른 특징들에서, 방법은 동일한 플로우 레이트들로 하나 이상의 가스들을 전달하는 단계, 및 기판의 외측 에지에서 프로세스 균일도를 튜닝하는 단계를 더 포함한다.
다른 특징들에서, 방법은 상이한 플로우 레이트들로 하나 이상의 가스들을 전달하는 단계, 및 기판의 외측 에지에서 방위각 프로세스 불균일도들을 보상하는 단계를 더 포함한다.
다른 특징들에서, 기판은 반도체 웨이퍼를 포함하고, 프로세싱은 에칭 프로세스를 포함하고, 그리고 하나 이상의 가스들은 반응성 가스를 포함하고, 방법은 에칭 프로세스 동안 에지 링으로부터 반응성 가스를 전달함으로써 기판의 외측 에지 아래에 재료의 축적을 방지하는 단계를 더 포함한다.
다른 특징들에서, 기판은 반도체 웨이퍼를 포함하고, 프로세싱은 에칭 프로세스를 포함하고, 그리고 하나 이상의 가스들은 불활성 가스를 포함하고, 방법은 에칭 프로세스 동안 에지 링으로부터 불활성 가스를 전달함으로써 기판 지지 어셈블리의 영역들을 보호하는 단계를 더 포함한다.
다른 특징들에서, 기판은 세정 웨이퍼를 포함하고, 프로세싱은 세정 프로세스를 포함하고, 그리고 하나 이상의 가스들은 불활성 가스를 포함하고, 방법은 세정 프로세스 동안 에지 링으로부터 불활성 가스를 전달함으로써 세정 프로세스 동안 에지 링에 근접한 프로세싱 챔버의 컴포넌트들을 마모로부터 보호하는 단계를 더 포함한다.
다른 특징들에서, 기판은 세정 웨이퍼를 포함하고, 프로세싱은 세정 프로세스를 포함하고, 그리고 하나 이상의 가스들은 세정 가스를 포함하고, 방법은 세정 프로세스 동안 에지 링으로부터 세정 가스를 전달함으로써 세정 프로세스 동안 에지 링에 근접한 프로세싱 챔버의 컴포넌트들의 세정 단계를 더 포함한다.
다른 특징들에서, 방법은 하나 이상의 가스들을 사용함으로써 기판의 외측 에지 아래에 패턴으로 재료를 증착하는 단계, 및 패턴이 기판의 중심과 동심 (concentric) 인지 여부에 기초하여 기판이 기판 지지 어셈블리 상 센터링되었는지 여부를 결정하는 단계를 더 포함한다.
또 다른 특징에서, 방법은 에지 링으로부터 하나 이상의 가스들을 전달함으로써 기판의 외측 에지 상에 재료를 증착하는 단계를 더 포함한다.
또 다른 특징에서, 방법은 에지 링으로부터 하나 이상의 가스들을 전달함으로써 에지 링에 근접한 프로세싱 챔버의 컴포넌트 상에 코팅을 증착하는 단계를 더 포함한다.
또 다른 특징에서, 방법은 하나 이상의 가스들을 동일한 플로우 레이트로 N 개의 주입 포트들을 통해 환형 채널의 N 개의 별개의 섹션들로 공급하는 단계를 더 포함한다.
또 다른 특징에서, 방법은 하나 이상의 가스들을 상이한 플로우 레이트들로 N 개의 주입 포트들을 통해 환형 채널의 N 개의 별개의 섹션들로 공급하는 단계를 더 포함한다.
다른 특징들에서, 방법은 제 1 플로우 레이트로 N 개의 주입 포트들 중 제 1 주입 포트를 통해 하나 이상의 가스들로부터 제 1 가스를 공급하는 단계, 및 제 2 플로우 레이트로 N 개의 주입 포트들 중 제 2 포트를 통해 하나 이상의 가스들로부터 제 2 가스를 공급하는 단계를 더 포함한다.
다른 특징들에서, 제 1 가스는 반응성 가스를 포함하고 그리고 제 2 가스는 불활성 가스를 포함한다.
다른 특징들에서, 제 1 가스는 제 1 반응성 가스를 포함하고 그리고 제 2 가스는 제 2 반응성 가스를 포함한다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description), 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 프로세싱 챔버를 포함하는 기판 프로세싱 시스템의 일 예를 도시한다.
도 2a는 본 개시에 따른 에지 링의 사시도를 도시한다.
도 2b는 본 개시에 따른 에지 링의 평면도를 도시한다.
도 2c 내지 도 2g는 본 개시에 따른 에지 링의 다양한 피처들을 도시한다.
도 3a는 본 개시에 따른 기판 지지 어셈블리와 함께 사용된 에지 링을 도시한다.
도 3b는 본 개시에 따른 에지 링과 함께 사용된 가스 전달 시스템의 일 예를 도시한다.
도 3c 내지 도 3e는 본 개시에 따른 기판 프로세싱 시스템에서 에지 링을 사용하는 예들을 도시한다.
도 4는 본 개시에 따라 튜닝 가스가 에지 링으로부터 공급될 때 프로세스 결과 대 (versus) 튜닝 가스가 프로세싱 챔버의 상단으로부터 공급될 때 프로세스 결과 사이의 비교를 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
프로세스 가스들 및 전구체들은 통상적으로 프로세싱 챔버의 상부 부분으로부터 웨이퍼 표면으로 전달된다. 예를 들어, 유전체 에칭 툴들에서, 프로세스 가스들은 프로세싱 챔버의 상부 전극을 통해 프로세스 가스들을 피딩하도록 (feed) 설계된 샤워헤드로부터 전달된다. 이들 툴들에서, 웨이퍼 표면으로의 반응 물질 또는 프로세스 가스 전달은 샤워헤드와 웨이퍼 표면 사이의 갭, 가스 플로우 레이트 및 압력, 한정 (confinement) 메커니즘, 등을 포함하는 인자들에 종속된다. 갭을 따른 가스 확산으로 인해, 웨이퍼의 에지에서 전달된 가스는 웨이퍼의 중심에서 프로세스 결과들에 대해 측정 가능한 효과를 갖는다.
현재, 에지 튜닝 가스는 프로세싱 챔버의 상부 단부로부터 샤워헤드를 통해 제공된다. 이 피처의 확산 길이 스케일은 웨이퍼 갭에 종속되는, 전체 웨이퍼에 걸친 프로세스 영향을 발생시킨다. 더욱이, 상부 전극으로부터 주입된 튜닝 가스는 프로세싱 챔버의 상부 전극 및 하부 전극 모두에 영향을 준다. 대신, 상부 전극 표면에 대한 최소 영향으로 웨이퍼 상에 국부화된 (localized) 효과를 갖는 보다 국부적인 가스 튜닝 노브 (knob) 가 제공될 수 있다.
본 개시는 웨이퍼 베벨에 직접 가스 피드 경로를 제공함으로써 웨이퍼 에지에 국부적으로 튜닝 가스를 전달할 수 있는 에지 링을 제공한다. 에지 링은 반응기의 프로세스 영역 내의 가스들을 위한 펌프 아웃 (배기) 경로에 가까운, 웨이퍼 베벨의 아랫면 (underside) 으로 튜닝 가스를 전달할 수 있다. 튜닝 가스의 이 국부화된 전달은 확산 길이 스케일을 효과적으로 감소시키고, 이는 프로세스에 대한 튜닝 가스의 효과를 보다 국부화되게 한다. 구체적으로, 에지 링은 반응기의 상단이 아니라, 아랫면으로부터 웨이퍼의 최극단 에지 (extreme edge)/베벨에 튜닝 가스를 국부적으로 주입한다. 따라서 에지 링은 웨이퍼 갭에 대해 감소된 감도를 갖는, 프로세싱 동안 웨이퍼의 에지에 국부적인 가스 튜닝 노브를 제공한다.
이하에 상세히 설명된 바와 같이, 튜닝 가스는 웨이퍼 베벨의 아랫면 상에 폴리머 부산물 축적을 방지하기 위해 웨이퍼 프로세싱 동안 사용될 수 있다. 방사상으로 대칭인 피처로서 구현될 때, 튜닝 가스는 샤워헤드로부터 주입된 튜닝 가스와 비교하여 상이한 길이 스케일로 최극단 에지 방사상 균일도를 튜닝하기 위해 사용될 수 있다. 일부 구현 예들에서, 방사상 가스 플로우는 또한 프로세스 동안 에지 우세 방위각 불균일도를 보상하도록 불균일하게 분포될 수 있다. 부가적으로, 튜닝 가스 특징은 정전 척 (electrostatic chuck; ESC) 의 에지 및 에지 링 상에서 세정 효율을 개선하기 위해 WAC (wafer-less auto-clean) 시퀀스 및 CWAC (covered wafer auto clean) 시퀀스 동안 활용될 수 있다. 또한, 주입된 가스 또는 가스 혼합물은 웨이퍼 베벨 또는 에지 링 상에 화학 물질의 국부적인 증착을 위해 사용될 수 있다. 불활성 가스는 또한 프로세싱 동안 라디칼 공격에 민감한 ESC의 영역들에 대한 버퍼/희석 존 (zone) 을 제공하고 그리고/또는 세정 동안 높은 마모 레이트들을 경험하는 컴포넌트들을 보호하기 위해 사용될 수 있다. 이에 더하여, 튜닝 가스는 이하에 설명된 바와 같이 웨이퍼 배치/센터링 (center) 에 유용할 수 있는 패턴을 생성하도록 웨이퍼 베벨의 아랫면을 에칭하도록 주입될 수 있다.
본 개시에 따른 튜닝 가스를 제공함으로써, 프로세스 튜닝 능력은 확산 길이의 감소로 인해 웨이퍼의 에지에 보다 국부화된다. 튜닝 가스는 세정 및 웨이퍼 프로세싱 동안 웨이퍼 표면에 대한 제한된 영향으로 웨이퍼 베벨을 세정하기 위해 사용될 수 있는 매우 국부화된 라디칼들의 소스 (source) 를 제공한다. 튜닝 가스 전달의 유효 반경은 웨이퍼 에지로의 가스 플로우를 조절함으로써 조정될 수 있다. 또한, 튜닝 가스 특징은 또한 상부 전극 상의 막에 상당한 영향을 주지 않고 에지 링들 또는 석영 커플링 링들 상의 재료를 선택적으로 세정하거나 증착 (프리-코팅 (pre-coat)) 하기 위해 활용될 수 있다.
도 3a 내지 도 3e를 참조하여 이하에 상세히 기술된, 상기 언급된 다양한 타입들의 가스 주입들은 본 개시에 따라 에지 링이 복수의 섹션들로 분할되고 (partition) 각각의 주입 포트들을 포함하기 때문에 가능하다. 주입 포트들을 사용함으로써, 하나 이상의 가스들이 다양한 플로우 레이트들로 에지 링의 별개의 섹션들 내로 주입될 수 있다. 본 개시의 이들 및 다른 특징들은 이하에 상세히 기술된다.
본 개시는 다음과 같이 구성된다. 도 1은 본 개시의 에지 링이 사용될 수 있는 프로세싱 챔버를 포함하는 기판 프로세싱 시스템의 일 예를 도시한다. 도 2a 내지 도 2g는 본 개시에 따른 에지 링의 다양한 도면들 및 피처들을 도시한다. 도 3a 내지 도 3e는 본 개시에 따라 사용되는 에지 링을 도시한다. 도 4는 본 개시에 따라 에지 링으로부터 공급된 튜닝 가스가 프로세싱 챔버의 상단 단부로부터 튜닝 가스가 공급될 때보다 보다 우수한 결과들을 생성한다는 것을 도시한다.
도 1은 용량 커플링 플라즈마를 생성하도록 구성된 프로세싱 챔버 (102) 를 포함하는 기판 프로세싱 시스템 (100) 의 일 예를 도시한다. 프로세싱 챔버 (102) 는 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 (enclose) 그리고 (사용된다면) 무선 주파수 (radio frequency; RF) 플라즈마를 담는다. 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC (106) 또는 다른 타입의 기판 지지부를 포함한다. 동작 동안, 기판 (108) 이 ESC (106) 상에 배치된다.
예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드와 같은 가스 분배 디바이스 (110) 를 포함할 수도 있다. 가스 분배 디바이스 (110) 는 프로세싱 챔버 (102) 의 상단 표면에 연결된 일 단부를 포함하는 스템 (stem) 부분을 포함할 수도 있다. 샤워헤드의 베이스 부분은 일반적으로 원통형이고 그리고 프로세싱 챔버 (102) 의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외향으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면플레이트 (faceplate) 는 복수의 홀들 (holes) 을 포함하고, 이를 통해 기화된 전구체, 프로세스 가스, 세정 가스 또는 퍼지 (purge) 가스가 흐른다. 대안적으로, 상부 전극 (104) 은 전도성 플레이트를 포함할 수도 있고 그리고 가스들은 또 다른 방식으로 도입될 수도 있다.
ESC (106) 는 하부 전극으로서 작용하는 베이스플레이트 (112) 를 포함한다. 베이스플레이트 (112) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는, 가열 플레이트 (114) 를 지지한다. 내열 층 (116) 이 가열 플레이트 (114) 와 베이스플레이트 (112) 사이에 배치될 수도 있다. 베이스플레이트 (112) 는 베이스플레이트 (112) 를 통해 냉각제를 흘리기 위한 하나 이상의 채널들 (118) 을 포함할 수도 있다.
플라즈마가 사용되면, RF 생성 시스템 (또는 RF 소스) (120) 이 RF 전압을 생성하고, 그리고 상부 전극 (104) 및 하부 전극 (예를 들어, ESC (106) 의 베이스플레이트 (112)) 중 하나로 RF 전압을 출력한다. 상부 전극 (104) 및 베이스플레이트 (112) 중 다른 하나는 DC 접지될 수도 있거나, AC 접지될 수도 있거나, 또는 플로팅할 수도 있다. 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (112) 에 피딩되는 RF 전력을 생성하는 RF 전력 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 도시되지 않지만, 플라즈마는 유도적으로 (inductively) 또는 리모트로 (remotely) 생성될 수도 있고 이어서 프로세싱 챔버 (102) 로 공급될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, … 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기서 N은 0보다 보다 큰 정수이다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, … 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers; MFCs) (136-1, 136-2, … 및 136-N) (집합적으로 MFC들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 증기 전달 시스템 (142) 은 기화된 전구체를 매니폴드 (140) 또는 프로세싱 챔버 (102) 에 연결되는 또 다른 매니폴드 (미도시) 에 공급한다. 매니폴드 (140) 의 출력이 프로세싱 챔버 (102) 에 피딩된다. 가스 소스들 (132) 은 프로세스 가스들, 세정 가스들, 및/또는 퍼지 가스들을 공급할 수도 있다.
온도 제어기 (150) 가 가열 플레이트 (114) 에 배치된 복수의 열적 제어 엘리먼트들 (thermal control elements; TCEs) (152) 에 연결될 수도 있다. 온도 제어기 (150) 는 ESC (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 TCE들 (152) 을 제어하도록 사용될 수도 있다. 온도 제어기 (150) 는 채널들 (118) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (154) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (154) 는 냉각제 펌프, 저장부 (reservoir) 및 하나 이상의 온도 센서들 (미도시) 을 포함할 수도 있다. 온도 제어기 (150) 는 ESC (106) 를 냉각하기 위해 채널들 (118) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (154) 를 동작시킨다. 밸브 (156) 및 펌프 (158) 가 프로세싱 챔버 (102) 로부터 반응 물질들을 배기하기 위해 사용될 수도 있다. 시스템 제어기 (160) 가 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어한다.
도 2a 내지 도 2g는 본 개시에 따른 에지 링 (200) 의 다양한 도면들 및 피처들을 도시한다. 도 2a는 에지 링 (200) 의 사시도를 도시한다. 도 2b는 에지 링 (200) 의 평면도를 도시한다. 도 2c 내지 도 2g는 에지 링 (200) 의 피처들을 상세히 도시한다.
도 2a 및 도 2b에서, 에지 링 (200) 은 환형 채널 (202) 을 포함한다. 환형 채널 (202) 은 에지 링 (200) 의 원주 둘레에서 완전히 절단되지 않는다. 대신, 환형 채널 (202) 은 이하에 설명된 바와 같이 서로 유체로 연통하지 (in fluid communication) 않는 별개의 섹션들로 분할된다. 환형 채널 (202) 의 단면이 도 2e에 도시된다.
에지 링 (200) 은 에지 링 (200) 의 주변부 (periphery) 또는 주위부 (perimeter) (원주) 를 따라 배치된 복수의 주입 포트들 (204-1, 204-2, 및 204-3) (집합적으로 주입 포트들 (204)) 을 포함한다. 하나 이상의 가스들은 이하에 상세히 기술된 바와 같이 주입 포트들 (204) 을 통해 환형 채널 (202) 내로 주입될 수 있다. 도 2d는 주입 포트들 (204) 중 하나의 부가적인 도면을 도시한다.
3 개의 주입 포트들이 단지 예로서 도시되지만, 에지 링 (200) 은 임의의 수의 주입 포트들을 포함할 수 있다. 예를 들어, 에지 링 (200) 이 2 개의 주입 포트들을 포함할 때, 주입 포트들은 에지 링 (200) 의 원주를 따라 정반대 위치들에 배치될 수도 있다. 예를 들어, 에지 링 (200) 이 2 개 이상의 주입 포트들을 포함할 때, 주입 포트들은 에지 링 (200) 둘레에 대칭적으로 분포될 수도 있다. 예를 들어, 에지 링 (200) 이 3 개의 주입 포트들을 포함할 때, 주입 포트들은 에지 링 (200) 의 원주를 따라 놓인 (lie) 정삼각형의 꼭짓점들을 형성한다. 대안적으로, 3 개의 주입 포트들은 에지 링 (200) 의 원주를 따라 놓인 이등변 삼각형의 꼭짓점들을 형성할 수도 있다. 예를 들어, 에지 링 (200) 이 4 개의 주입 포트들을 포함할 때, 주입 포트들은 에지 링 (200) 의 원주를 따라 놓인 정사각형의 꼭짓점들을 형성한다. 대안적으로, 4 개의 주입 포트들은 에지 링 (200) 의 원주를 따라 놓인 직사각형 또는 마름모, 등의 꼭짓점들을 형성할 수도 있다. 에지 링 (200) 의 원주를 따라 주입 포트들 (204) 의 많은 다른 기하학적 배열들이 고려된다.
환형 채널 (202) 은 환형 채널 (202) 내에 배치된 (예를 들어, 임베딩된) 분할 블록들 (도 2c의 엘리먼트 (206) 참조) 에 의해 복수의 분리된 (disjoint) 섹션들 (또한 부분들 또는 분할들로 지칭됨) 로 분할된다. 환형 채널 (202) 내의 분할 블록들의 수 및 환형 채널 (202) 의 섹션들의 수는 주입 포트들 (204) 의 수와 같다. 예를 들어, 도 2a 및 도 2b에서, 3 개의 주입 포트들 (204) 이 도시되기 때문에, 환형 채널 (202) 은 3 개의 분할 블록들 (206-1, 206-2 및 206-3) (집합적으로 분할 블록들 (206) 에 의해 3 개의 섹션들 (207-1, 207-2, 및 207-3) (집합적으로 섹션들 (207)) 로 분할된다.
분할 블록들 (206) 은 주입 포트들 (204) 과 유사한 기하학적 배열로 배치된다. 분할 블록들 (206) 은 주입 포트들 (204) 로부터 그리고 서로 등거리에 있다. 예를 들어, 도 2a 및 도 2b에 도시된 예에서, 3 개의 주입 포트들 (204) 이 120 도 이격되기 때문에, 3 개의 분할 블록들 (206) 은 또한 120 도 이격되고 그리고 3 개의 주입 포트들 (204) 로부터 60 도 이격된다. 분할 블록 (206) 각각은 분할 블록 (206) 의 양측 상의 이웃하는 주입 포트들 (204) 로부터 등거리에 있다. 도 2a 및 도 2b에 도시된 예에서, 3 개의 분할 블록들 (206) 은 또한 정삼각형의 꼭짓점들 상에 놓인 3 개의 주입 포트들 (204) 과 유사하게 정삼각형의 꼭짓점들 상에 놓인다.
에지 링 (200) 은 에지 링 (200) 의 내경으로부터 방사상으로 내향으로 (즉, 에지 링 (200) 의 중심을 향해) 연장하는 플랜지 (flange) (210) 를 포함한다. 플랜지 (210) 는 환형 채널 (202) 과 유체로 연통하고 환형 채널 (202) 로부터 방사상으로 내향으로 연장하는 다수의 슬릿들 (208) 을 포함한다. 주입 포트들 (204) 내로 주입된 가스 또는 가스들은 환형 채널 (202) 의 각각의 섹션들 (207) 로 들어가고 그리고 환형 채널 (202) 의 각각의 섹션들 (207) 과 연관된 슬릿들 (208) 로부터 나간다. 도 2c 및 도 2d는 슬릿들 (208) 중 하나의 부가적인 도면들을 도시한다. 도 2f 및 도 2g는 슬릿들 (208) 중 하나를 상세히 도시한다.
예를 들어, 에지 링 (200) 은 실리콘 및 실리콘 카바이드로 이루어질 수 있다. 실리콘이 머시닝하기에 어렵지만 (challenging), 에지 링 (200) 은 실리콘으로 이루어질 수 있고, 이는 프로세싱 챔버의 다른 컴포넌트들이 또한 실리콘으로 이루어진다면 바람직하다. 일반적으로, 에지 링은 프로세싱 챔버의 컴포넌트들을 제작하기 위해 사용된 임의의 머시닝 가능한 세라믹 또는 비세라믹 (non-ceramic) 재료로 이루어질 수 있다. 재료는 프로세싱 챔버에서 수행될 프로세스 및 사용된 기판 프로세싱 툴의 타입에 기초하여 선택될 수 있다.
도 3a 내지 도 3e는 본 개시에 따라 사용되는 에지 링 (200) 을 도시한다. 도 3a는 에지 링 (200) 을 사용하는 가스 전달을 도시한다. 도 3b는 에지 링 (200) 에 하나 이상의 가스들을 공급하는 가스 전달 시스템을 도시한다. 도 3c 및 도 3d는 에지 링 (200) 을 사용한 최극단 에지 균일도 제어를 도시한다. 도 3e는 ESC에 대한 라디칼 공격을 늦추기 위해 에지 링 (200) 을 사용하여 생성된 불활성 가스 배리어를 도시한다.
도 3a는 웨이퍼 (304) (예를 들어, 도 1에 도시된 기판 (108)) 를 지지하기 위해 베이스플레이트 (302) (예를 들어, 도 1에 도시된 베이스플레이트 (112)) 를 포함하는 기판 지지 어셈블리 (300) (예를 들어, 도 1에 도시된 ESC (106)) 의 일 예를 도시한다. 예시의 간략함을 위해 도시되지 않았지만, 베이스플레이트 (302) 는 웨이퍼 (304) 를 지지하는 세라믹/상단 층을 포함한다. 가스 전달 시스템 (303) (예를 들어, 도 1에 도시된 가스 전달 시스템 (130)) 은 하나 이상의 가스들을 에지 링 (200) 으로 전달한다. 가스 전달 시스템 (303) 과 에지 링 (200) 사이의 연결들의 예들이 도 3b에 도시된다.
에지 링 (200) 은 (306) 에 도시된 바와 같이 튜닝 가스를 전달한다. 에지 링 (200) 으로부터 웨이퍼 (304) 의 아랫면으로의 가스 전달 지점은 (308) 에 도시된 프로세싱 챔버의 펌프 아웃 또는 배기 경로에 보다 가깝고, 이는 에지 링 (200) 으로부터 (306) 에 도시된 바와 같이 매우 국부화된 (즉, 웨이퍼 에지로 제한된) 웨이퍼 에지로의 가스 전달을 유지하는 것을 돕는다.
도 3b는 가스 전달 시스템 (303) 을 도시한다. 가스 전달 시스템 (303) 은 복수의 가스 소스들 (350), 복수의 밸브들 (352), 복수의 질량 유량 제어기들 (354), 및 제어기 (356) (예를 들어, 도 1에 도시된 제어기 (160)) 를 포함한다. 가스 소스들 (350), 밸브들 (352), 및 질량 유량 제어기들 (354) 은 도 1에 도시된 가스 소스들 (132), 밸브들 (134), 및 질량 유량 제어기들 (136) 과 유사할 수 있다. 가스 소스들 (350) 은 하나 이상의 튜닝 가스들, 불활성 가스, 및 이하에 기술된 다른 가스들을 공급할 수 있다. 제어기 (356) 는 이하에 기술된 바와 같이 에지 링 (200) 의 주입 포트들 (204) 로, 동일하거나 상이한 플로우 레이트들 및 압력들로 공급될 수 있는, 동일한 가스, 상이한 가스들, 또는 가스 혼합물들을 공급하도록 밸브들 (352) 및 질량 유량 제어기들 (354) 을 제어한다.
때때로 웨이퍼 프로세싱이 프로세싱 챔버 (예를 들어, 도 1에 도시된 프로세싱 챔버 (102)) 에서 수행되는 동안, 웨이퍼 (304) 의 후면은 플라즈마 (미도시) 의 직접 이온 충돌 (ion bombardment) 에 노출되지 않기 때문에, 폴리머 또는 일부 다른 타입의 에칭 부산물 잔여물은 웨이퍼 (304) 의 후면 상에 축적되는 경향이 있다. 예를 들어, 웨이퍼 베벨의 아랫면 상에 축적되는 반응 물질들 및 라디칼들은 에칭 제거되지 (etch away) 않고 웨이퍼 베벨의 아랫면 상에 링 형상의 증착물을 유발한다. 이 문제는 많은 방법들로 해결될 수 있다.
예를 들어, 에지 링 (200) 으로부터 주입된 가스는 주입된 가스가 웨이퍼 베벨의 아랫면 상에 축적된 재료와 화학적으로 반응할 수 있도록 선택될 수 있다. 예를 들어, 가스는 반응성 가스를 포함할 수 있다. 대안적으로, 에지 링 (200) 으로부터 주입된 가스는 재료의 농도를 희석하거나 감소시킬 수 있고 재료가 웨이퍼 베벨의 아랫면 상에 축적되는 것을 방지할 수 있는 불활성 가스를 포함할 수 있다. 주입된 불활성 가스는 또한 프로세싱 챔버에서 수행되는 진행중인 프로세스를 간섭하지 않는다. 따라서, 에지 링 (200) 으로부터 국부적으로 주입된 가스 또는 가스들은 프로세싱 챔버에서 진행되는 프로세싱 동안 그리고 프로세싱에 영향을 주지 않고 웨이퍼 베벨의 아랫면 상의 에칭 부산물들의 증착을 방지하도록 웨이퍼 베벨의 아랫면 부근에서 화학 물질 또는 화학 반응을 제어할 수 있다.
도 3c 및 도 3d는 프로세싱 챔버 (예를 들어, 도 1에 도시된 프로세싱 챔버 (102)) 내에 기판 지지 어셈블리 (300) 위에 배치된 상단 플레이트 (310) 를 도시한다. 샤워헤드 (예를 들어, 도 1에 도시된 샤워헤드 (104)) 가 상단 플레이트 (310) 내에 배치된다. 도 3c에서 (312) 에 도시된 바와 같이 상단 플레이트 (310) 내의 샤워헤드와 웨이퍼 (304) 사이의 거리는 통상적으로 샤워헤드로부터 웨이퍼 (304) 로 전달되는 가스가 샤워헤드로부터 웨이퍼 (304) 로 방사상으로 확산되도록 한다.
특히, 에지 링 (200) 으로부터의 가스 주입 지점과 웨이퍼 에지 사이의 거리는 상단 플레이트 (310) 내의 샤워헤드와 웨이퍼 (304) 사이의 거리보다 상당히 보다 작다. 에지 링 (200) 으로부터의 가스 주입 지점과 웨이퍼 에지 사이의 거리를 감소시키는 것은 도 3d에서 (314) 에 도시된 바와 같이 웨이퍼 에지 근방에서 방사상 확산의 정도를 감소시킨다. 따라서, 확산은 제어될 수 있고 그리고 결과적으로 최극단 에지 방사상 균일도는 웨이퍼 에지에 보다 가까운 에지 링 (200) 으로부터 튜닝 가스를 주입함으로써 개선될 수 있다. 즉, 웨이퍼 에지 근방의 확산으로 인한 불균일도는 에지 링 (200) 으로부터의 가스 주입 지점을 웨이퍼 에지에 가깝게 함으로써 감소될 수 있다.
제어기 (356) 를 사용하여 밸브들 (352) 및 질량 유량 제어기들 (354) 을 제어함으로써, 가스 플로우는 에지 링 (200) 으로부터 방사상으로 균일하게 또는 불균일하게 분배될 수 있다. 예를 들어, 에칭 가스는 동일한 농도의 에칭 가스가 모든 에지 링 (200) 둘레에 방위각으로 주입되도록 주입 포트들 (204) 을 통해 균일하게 (즉, 방사상으로 대칭적으로) 주입될 수 있다. 에칭 가스는 또한 상이한 양들의 에칭 가스가 에지 링 (200) 둘레의 상이한 영역들에 전달될 수 있도록 주입 포트들 (204) 을 통해 불균일하게 (즉, 방사상으로 비대칭적으로) 주입될 수 있다. 예를 들어, 주입 포트들 (204) 각각을 통한 에칭 가스의 플로우 레이트는 개별적으로 제어될 수 있다.
또한, 상이한 가스들이 주입 포트들 (204) 을 통해 선택적으로 주입될 수 있다. 상이한 가스들은 방위각 불균일도들을 포함하는 다양한 불균일도 문제들을 해결하기 위해 제어된 방식으로 상이한 플로우 레이트들로 주입 포트들 (204) 을 통해 주입될 수 있다. 예를 들어, 동일한 (즉, 단일의) 가스가 주입 포트들 (204) 을 통해 동일하거나 상이한 플로우 레이트들로 주입될 수 있다. 대안적으로, 2 개 이상의 상이한 가스들은 동일한 플로우 레이트로 또는 각각의 상이한 플로우 레이트, 등으로 각각의 주입 포트들 (204) 을 통해 주입될 수 있다. 예를 들어, 상이한 가스들은 상이한 반응성 가스들의 조합, 불활성 가스 및 반응성 가스들의 조합, 등을 포함할 수 있다.
에지 링 (200) 을 통한 가스 주입은 또한 다른 애플리케이션들 (applications) 을 갖는다. 예를 들어, CWAC 동안, 웨이퍼 오버행 (overhang) 아래의 기판 지지 어셈블리 (300) 의 영역들은 세정하기 어렵다. 이들 영역들은 에지 링 (200) 을 통해 주입된 가스 또는 가스들을 사용하여 세정될 수 있다. 또한, 일부 프로세싱 챔버들에서, 프로세싱 챔버의 컴포넌트들 중 일부는 프리-코팅될 수도 있다. 프리-코팅은 에지 링 (200) 을 통해 가스들을 주입함으로써 수행될 수 있다.
도 3e는 (318) 에 도시된 바와 같이 웨이퍼 (304) 아래 그리고 에지 링 (200) 과 기판 지지 어셈블리 (300) 사이에서 확산될 수 있는 희석된 라디칼들에 버퍼 또는 희석 존을 제공하도록 불활성 가스가 에지 링 (200) 을 통해 주입될 수 있다는 것을 도시한다. 이들 라디칼들은 예를 들어, (320) 에 도시된 베이스플레이트 (302) 와 기판 지지 어셈블리 (300) 사이의 결합 (bond) 을 공격할 수 있다. 에지 링 (200) 을 통해 주입된 불활성 가스에 의한 이들 라디칼들의 희석은 공격을 지연, 최소화, 또는 방지할 수 있다. 틈새들 (crevices) 로부터 라디칼들의 이러한 타입의 퍼지는 웨이퍼가 프로세싱되는 동안, 프로세싱 챔버가 세정되는 동안 (이 단계는 별도의 퍼지 단계일 수 있음), 또는 프로세싱 챔버가 유휴 상태일 때 (이는 독립된 (standalone) 퍼지 단계일 수 있음) 수행될 수 있다.
또한, 에지 링 (200) 부근의 프로세싱 챔버의 일부 컴포넌트들은 에지 링 가스 주입 스킴 (scheme) 을 사용하여 선택적으로 보호되고 (예를 들어, 프리-코팅되고) 그리고/또는 세정될 수 있다. 예를 들어, 일부 컴포넌트들은 챔버 세정 프로세스 동안 높은 마모를 경험할 수도 있다. 상기 기술된 희석 방법은 세정 프로세스 동안 이러한 컴포넌트들의 과도한 마모를 방지하기 위해 사용될 수 있다. 또한, 세정 프로세스 동안 컴포넌트가 보호되어야 하는 위치들에 불활성 가스가 주입되는 우선적인 보호 스킴이 채용될 수 있다. 반대로, 세정 프로세스가 컴포넌트를 충분히 세정할 수 없는 위치들에서 세정을 강화시키도록 반응성 가스가 주입된다.
도 3a 내지 도 3e를 참조하여 상기 기술된 다양한 타입들의 가스 주입들은 에지 링이 복수의 섹션들 (207) 로 분할되고 각각의 주입 포트들 (204) 을 포함하기 때문에 가능하다. 또한, 가스 전달 시스템 (303) 이 밸브들 (352) 및 질량 유량 제어기들 (354) 을 사용하여 상기 기술된 상이한 방식들로 상이한 가스들을 공급할 수 있기 때문에 다양한 타입들의 가스 주입들이 가능하다.
웨이퍼 (304) 가 프로세싱 동안 기판 지지 어셈블리 (300) 상에 배치될 때, 웨이퍼 (304) 는 기판 지지 어셈블리 (300) 상에 센터링되어야 한다. 상기 기술된 에지 링 가스 주입 시스템은 기판 지지 어셈블리 (300) 로부터 오버행하는 웨이퍼 (304) 의 아랫면의 영역 상에 재료를 증착하기 위해 사용될 수 있다. 이 증착은 웨이퍼 (304) 의 아랫면 상에 링을 생성한다. 링은 웨이퍼 (304) 가 기판 지지 어셈블리 (300) 상에 센터링되었는지 여부를 검증하기 위해 검사될 수 있다. 링이 웨이퍼 (304) 의 중심과 동심 (concentric) 이라면 웨이퍼 (304) 는 기판 지지 어셈블리 (300) 상에 센터링된다.
도 4는 튜닝 가스가 에지 링 (200) 으로부터 공급될 때 프로세스 결과 대 (versus) 튜닝 가스가 프로세싱 챔버의 상단 단부로부터 공급될 때 프로세스 결과 사이의 비교를 도시한다. 그래프는 에지 링 (200) 으로부터 공급된 튜닝 가스가 프로세싱 챔버의 상단 단부로부터 튜닝 가스가 공급될 때보다 보다 우수한 결과들을 생성한다는 것을 도시한다.
전술한 기술은 본질적으로 단지 예시이고, 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다.
방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시 예들의 피처들로 및/또는 임의의 다른 실시 예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 또 다른 실시 예들과의 치환들이 본 개시의 범위 내에 있다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 관계 및 기능적 관계는, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)" 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다.
제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다.
프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다.
일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다.
따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (40)

  1. 기판 프로세싱 시스템을 위한 에지 링에 있어서,
    환형 바디 (annular body);
    상기 환형 바디의 내경을 따라 원주 방향으로 (circumferentially) 상기 환형 바디 내에 배치되는 환형 채널로서, 상기 환형 채널은 N 개의 별개의 섹션들을 포함하고, 여기서 N은 1보다 보다 큰 정수인, 상기 환형 채널;
    상기 환형 채널의 상기 N 개의 별개의 섹션들 내로 하나 이상의 가스들을 각각 주입하기 위해 상기 환형 바디 상에 원주 방향으로 배치된 N 개의 주입 포트들;
    상기 환형 바디의 상기 내경으로부터 방사상으로 내향으로 연장하는 플랜지 (flange); 및
    상기 플랜지 내에 배치된 복수의 슬릿들로서, 상기 슬릿들은 상기 환형 채널과 유체로 연통하고 (in fluid communication) 그리고 상기 하나 이상의 가스들을 전달하기 위해 상기 환형 채널로부터 방사상으로 내향으로 연장하는, 상기 복수의 슬릿들을 포함하는, 기판 프로세싱 시스템을 위한 에지 링.
  2. 제 1 항에 있어서,
    상기 복수의 슬릿들은 기판 프로세싱 시스템에서 기판의 프로세싱 동안 상기 기판 지지 어셈블리의 상부 주변부 (periphery) 로 그리고 상기 기판 지지 어셈블리 상에 배치된 상기 기판의 외측 에지 아래로 상기 하나 이상의 가스들을 전달하도록 구성되는, 기판 프로세싱 시스템을 위한 에지 링.
  3. 제 1 항에 있어서,
    상기 환형 채널은 상기 환형 채널을 상기 N 개의 별개의 섹션들로 분할하는 (partition) N 개의 분할 블록들을 포함하는, 기판 프로세싱 시스템을 위한 에지 링.
  4. 제 3 항에 있어서,
    상기 N 개의 주입 포트들은 서로 등거리 (equidistant) 에 있고; 그리고
    상기 N 개의 분할 블록들 각각은 상기 N 개의 주입 포트들 중 2 개의 주입 포트들 사이에 배치되고 그리고 상기 N 개의 주입 포트들 중 2 개의 주입 포트들로부터 등거리에 있는, 기판 프로세싱 시스템을 위한 에지 링.
  5. 제 1 항에 있어서,
    상기 환형 바디의 상부 표면의 외측 부분은 상기 기판 프로세싱 시스템의 배기 포트에 근접한, 기판 프로세싱 시스템을 위한 에지 링.
  6. 제 1 항에 있어서,
    상기 에지 링은 실리콘 및 실리콘 카바이드 중 적어도 하나로 이루어진, 기판 프로세싱 시스템을 위한 에지 링.
  7. N 개의 주입 포트들을 갖는 에지 링으로서, 여기서 N은 1보다 보다 큰 정수이고, 그리고 상기 에지 링은 하나 이상의 가스들을 선택적으로 전달하도록 구성되는, 상기 에지 링; 및
    상기 N 개의 주입 포트들로 상기 하나 이상의 가스들을 공급하도록 구성된 가스 전달 시스템을 포함하는, 시스템.
  8. 제 7 항에 있어서,
    상기 에지 링은,
    상기 에지 링의 내경을 따라 원주 방향으로 배치되고, N 개의 별개의 섹션들을 포함하는 환형 채널로서,
    상기 N 개의 주입 포트들은 상기 하나 이상의 가스들을 상기 환형 채널의 상기 N 개의 별개의 섹션들 내로 각각 주입하기 위해 상기 에지 링 상에 원주 방향으로 배치되는, 상기 환형 채널;
    상기 에지 링의 내경으로부터 방사상으로 내향으로 연장하는 플랜지; 및
    상기 플랜지 내에 배치된 복수의 슬릿들로서, 상기 슬릿들은 상기 환형 채널과 유체로 연통하고 그리고 상기 하나 이상의 가스들을 전달하기 위해 상기 환형 채널로부터 방사상으로 내향으로 연장하는, 상기 복수의 슬릿들을 포함하는, 시스템.
  9. 제 8 항에 있어서,
    상기 복수의 슬릿들은 상기 기판의 프로세싱 동안 상기 기판 지지 어셈블리의 상부 주변부로 그리고 상기 기판 지지 어셈블리 상에 배치된 상기 기판의 외측 에지 아래로 상기 하나 이상의 가스들을 전달하도록 구성되는, 시스템.
  10. 제 8 항에 있어서,
    상기 환형 채널은 상기 환형 채널을 상기 N 개의 별개의 섹션들로 분할하는 N 개의 분할 블록들을 포함하고;
    상기 N 개의 주입 포트들은 서로 등거리에 있고; 그리고
    상기 N 개의 분할 블록들 각각은 상기 N 개의 주입 포트들 중 2 개의 주입 포트들 사이에 배치되고 그리고 상기 N 개의 주입 포트들 중 2 개의 주입 포트들로부터 등거리에 있는, 시스템.
  11. 제 7 항에 있어서,
    상기 가스 전달 시스템은 상기 하나 이상의 가스들로부터 동일한 가스를 상기 N 개의 주입 포트들로 공급하는, 시스템.
  12. 제 7 항에 있어서,
    상기 가스 전달 시스템은 동일한 플로우 레이트로 상기 하나 이상의 가스들로부터 동일한 가스를 상기 N 개의 주입 포트들로 공급하는, 시스템.
  13. 제 7 항에 있어서,
    상기 가스 전달 시스템은 상이한 플로우 레이트들로 상기 하나 이상의 가스들로부터 동일한 가스를 상기 N 개의 주입 포트들로 공급하는, 시스템.
  14. 제 7 항에 있어서,
    상기 가스 전달 시스템은 상기 하나 이상의 가스들로부터 M 개의 가스들을 상기 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N인, 시스템.
  15. 제 7 항에 있어서,
    상기 가스 전달 시스템은 동일한 플로우 레이트로 상기 하나 이상의 가스들로부터 M 개의 가스들을 상기 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N인, 시스템.
  16. 제 7 항에 있어서,
    상기 가스 전달 시스템은 상이한 플로우 레이트들로 상기 하나 이상의 가스들로부터 M 개의 가스들을 상기 N 개의 주입 포트들로 공급하고, 여기서 M은 정수이고, 1 < M ≤ N인, 시스템.
  17. 제 7 항에 있어서,
    상기 하나 이상의 가스들은 반응성 가스 및 불활성 가스 중 하나 이상을 포함하는, 시스템.
  18. 제 7 항에 있어서,
    아랫면 (underside) 을 갖는 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함하고;
    상기 하나 이상의 가스들은 상기 반도체 웨이퍼의 상기 아랫면에 근접한 영역으로 전달되는, 시스템.
  19. 제 18 항에 있어서
    상기 하나 이상의 가스들은 프로세싱 동안 상기 반도체 웨이퍼의 상기 아랫면 상에 축적된 에칭 부산물을 제거하는, 시스템.
  20. 제 7 항에 있어서,
    반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함하고;
    상기 하나 이상의 가스들은 상기 반도체 웨이퍼의 주변부에 근접하게 전달되어 방사상 확산을 감소시키고 에지 방사상 균일도를 개선하는, 시스템.
  21. 제 7 항에 있어서,
    하나 이상의 컴포넌트들을 갖는 프로세싱 챔버를 더 포함하고, 상기 하나 이상의 가스들은 상기 하나 이상의 컴포넌트들 중 적어도 하나를 프리-코팅하는 (pre-coat), 시스템.
  22. 제 7 항에 있어서,
    반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함하고;
    상기 하나 이상의 가스들은 상기 반도체 웨이퍼의 주변부 아래에 그리고 상기 에지 링과 상기 기판 지지 어셈블리 사이에 확산된 라디칼들을 희석하도록 희석 존 (zone) 을 제공하는, 시스템.
  23. 제 7 항에 있어서,
    아랫면을 갖는 반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함하고;
    상기 하나 이상의 가스들은 상기 반도체 웨이퍼의 상기 아랫면 상에 링을 형성하도록 사용되고; 그리고
    상기 링은 상기 반도체 웨이퍼가 상기 기판 지지 어셈블리 상 센터링되었는지 (center) 여부를 결정하도록 사용되는, 시스템.
  24. 제 7 항에 있어서,
    반도체 웨이퍼를 포함하는 기판을 지지하도록 구성된 기판 지지 어셈블리를 더 포함하고;
    상기 하나 이상의 가스들은 상기 반도체 웨이퍼의 주변부 아래의 상기 기판 지지 어셈블리의 영역을 세정하는, 시스템.
  25. 제 7 항에 있어서,
    상기 가스 전달 시스템은,
    상기 하나 이상의 가스들을 공급하기 위한 복수의 가스 소스들; 및
    상기 복수의 가스 소스들 및 상기 N 개의 주입 포트들과 연관된 복수의 밸브들을 포함하고; 그리고
    상기 시스템은 하나 이상의 플로우 레이트들로 상기 하나 이상의 가스들을 상기 N 개의 주입 포트들로 선택적으로 공급하도록 상기 복수의 밸브들을 제어하도록 구성된 제어기를 더 포함하는, 시스템.
  26. 프로세싱 챔버의 기판 지지 어셈블리 둘레에 에지 링을 배치하는 단계로서, 상기 에지 링은 N 개의 별개의 섹션들로 분할된 환형 채널을 포함하고, 여기서 N은 1보다 보다 큰 정수인, 상기 에지 링 배치 단계;
    상기 에지 링 상에 원주 방향으로 배치된 N 개의 주입 포트들을 통해 상기 환형 채널의 상기 N 개의 별개의 섹션들로 각각 하나 이상의 가스들을 공급하는 단계; 및
    상기 프로세싱 챔버에서 기판의 프로세싱 동안 상기 에지 링의 내경으로부터 상기 기판 지지 어셈블리의 상부 주변부로 그리고 상기 기판 지지 어셈블리 상에 배치된 상기 기판의 외측 에지 아래로 방사상으로 내향으로 연장하는 플랜지 내의 슬릿들을 통해 상기 하나 이상의 가스들을 전달하는 단계를 포함하는, 방법.
  27. 제 26 항에 있어서,
    동일한 플로우 레이트들로 상기 하나 이상의 가스들을 전달하는 단계; 및
    상기 기판의 상기 외측 에지에서 프로세스 균일도를 튜닝하는 단계를 더 포함하는, 방법.
  28. 제 26 항에 있어서,
    상이한 플로우 레이트들로 상기 하나 이상의 가스들을 전달하는 단계; 및
    상기 기판의 상기 외측 에지에서 방위각 프로세스 불균일도들을 보상하는 단계를 더 포함하는, 방법.
  29. 제 26 항에 있어서,
    상기 기판은 반도체 웨이퍼를 포함하고, 상기 프로세싱은 에칭 프로세스를 포함하고, 그리고 상기 하나 이상의 가스들은 반응성 가스를 포함하고, 상기 방법은 상기 에칭 프로세스 동안 상기 에지 링으로부터 상기 반응성 가스를 전달함으로써 상기 기판의 상기 외측 에지 아래에 재료의 축적을 방지하는 단계를 더 포함하는, 방법.
  30. 제 26 항에 있어서,
    상기 기판은 반도체 웨이퍼를 포함하고, 상기 프로세싱은 에칭 프로세스를 포함하고, 그리고 상기 하나 이상의 가스들은 불활성 가스를 포함하고, 상기 방법은 상기 에칭 프로세스 동안 상기 에지 링으로부터 상기 불활성 가스를 전달함으로써 상기 기판 지지 어셈블리의 영역들을 보호하는 단계를 더 포함하는, 방법.
  31. 제 26 항에 있어서,
    상기 기판은 세정 웨이퍼를 포함하고, 상기 프로세싱은 세정 프로세스를 포함하고, 그리고 상기 하나 이상의 가스들은 불활성 가스를 포함하고, 상기 방법은 상기 세정 프로세스 동안 상기 에지 링으로부터 상기 불활성 가스를 전달함으로써 상기 세정 프로세스 동안 상기 에지 링에 근접한 상기 프로세싱 챔버의 컴포넌트들을 마모로부터 보호하는 단계를 더 포함하는, 방법.
  32. 제 26 항에 있어서,
    상기 기판은 세정 웨이퍼를 포함하고, 상기 프로세싱은 세정 프로세스를 포함하고, 그리고 상기 하나 이상의 가스들은 세정 가스를 포함하고, 상기 방법은 상기 세정 프로세스 동안 상기 에지 링으로부터 상기 세정 가스를 전달함으로써 상기 세정 프로세스 동안 상기 에지 링에 근접한 상기 프로세싱 챔버의 컴포넌트들의 세정 단계를 더 포함하는, 방법.
  33. 제 26 항에 있어서,
    상기 하나 이상의 가스들을 사용함으로써 상기 기판의 상기 외측 에지 아래에 패턴으로 재료를 증착하는 단계; 및
    상기 패턴이 상기 기판의 중심과 동심 (concentric) 인지 여부에 기초하여 상기 기판이 상기 기판 지지 어셈블리 상 센터링되었는지 여부를 결정하는 단계를 더 포함하는, 방법.
  34. 제 26 항에 있어서,
    상기 에지 링으로부터 상기 하나 이상의 가스들을 전달함으로써 상기 기판의 상기 외측 에지 상에 재료를 증착하는 단계를 더 포함하는, 방법.
  35. 제 26 항에 있어서,
    상기 에지 링으로부터 상기 하나 이상의 가스들을 전달함으로써 상기 에지 링에 근접한 상기 프로세싱 챔버의 컴포넌트 상에 코팅을 증착하는 단계를 더 포함하는, 방법.
  36. 제 26 항에 있어서,
    상기 하나 이상의 가스들을 동일한 플로우 레이트로 상기 N 개의 주입 포트들을 통해 상기 환형 채널의 상기 N 개의 별개의 섹션들로 공급하는 단계를 더 포함하는, 방법.
  37. 제 26 항에 있어서,
    상기 하나 이상의 가스들을 상이한 플로우 레이트들로 상기 N 개의 주입 포트들을 통해 상기 환형 채널의 상기 N 개의 별개의 섹션들로 공급하는 단계를 더 포함하는, 방법.
  38. 제 26 항에 있어서,
    제 1 플로우 레이트로 상기 N 개의 주입 포트들 중 제 1 주입 포트를 통해 상기 하나 이상의 가스들로부터 제 1 가스를 공급하는 단계; 및
    제 2 플로우 레이트로 상기 N 개의 주입 포트들 중 제 2 포트를 통해 상기 하나 이상의 가스들로부터 제 2 가스를 공급하는 단계를 더 포함하는, 방법.
  39. 제 38 항에 있어서,
    상기 제 1 가스는 반응성 가스를 포함하고 그리고 상기 제 2 가스는 불활성 가스를 포함하는, 방법.
  40. 제 38 항에 있어서,
    상기 제 1 가스는 제 1 반응성 가스를 포함하고 그리고 상기 제 2 가스는 제 2 반응성 가스를 포함하는, 방법.
KR1020227038261A 2020-04-02 2021-03-12 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링 KR20220160687A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063004132P 2020-04-02 2020-04-02
US63/004,132 2020-04-02
US202063041694P 2020-06-19 2020-06-19
US63/041,694 2020-06-19
PCT/US2021/022036 WO2021202080A1 (en) 2020-04-02 2021-03-12 Edge ring for localized delivery of tuning gas

Publications (1)

Publication Number Publication Date
KR20220160687A true KR20220160687A (ko) 2022-12-06

Family

ID=77929392

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038261A KR20220160687A (ko) 2020-04-02 2021-03-12 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링

Country Status (6)

Country Link
US (1) US20230128551A1 (ko)
JP (1) JP2023520035A (ko)
KR (1) KR20220160687A (ko)
CN (1) CN115362544A (ko)
TW (1) TW202204686A (ko)
WO (1) WO2021202080A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115558905B (zh) * 2022-12-01 2023-07-07 浙江晶越半导体有限公司 一种提高碳化硅沉积速率与均匀性的方法与反应器

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리

Also Published As

Publication number Publication date
TW202204686A (zh) 2022-02-01
US20230128551A1 (en) 2023-04-27
CN115362544A (zh) 2022-11-18
WO2021202080A1 (en) 2021-10-07
JP2023520035A (ja) 2023-05-15

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
US11008655B2 (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US20230128551A1 (en) Edge ring for localized delivery of tuning gas
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
US20230091524A1 (en) Multizone gas distribution plate for trench profile optimization
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2023049013A1 (en) In-situ back side plasma treatment for residue removal from substrates
WO2024081183A1 (en) Baffle for providing uniform process gas flow on substrate and around pedestal

Legal Events

Date Code Title Description
A201 Request for examination