CN115362544A - 用于调节气体的局部输送的边缘环 - Google Patents

用于调节气体的局部输送的边缘环 Download PDF

Info

Publication number
CN115362544A
CN115362544A CN202180027087.XA CN202180027087A CN115362544A CN 115362544 A CN115362544 A CN 115362544A CN 202180027087 A CN202180027087 A CN 202180027087A CN 115362544 A CN115362544 A CN 115362544A
Authority
CN
China
Prior art keywords
gases
substrate
edge ring
gas
injection ports
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180027087.XA
Other languages
English (en)
Inventor
尤翰·塞珀萨德
赖安·拜斯
约翰·霍兰德
里奥尼德·贝劳
亚当·克里斯多夫·梅斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115362544A publication Critical patent/CN115362544A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于衬底处理系统的边缘环包含:环形主体;以及环形通道,其沿着所述环形主体的内径周向地设置于所述环形主体中。所述环形通道包含N个不同区段,其中N为大于1的整数。所述边缘环包括N个注入口,其被周向地设置在所述环形主体上,以分别将一种或更多种气体注入所述环形通道的所述N个不同区段中。所述边缘环包括凸缘,其从所述环形主体的所述内径径向地往内延伸。多个狭缝被设置在所述凸缘中。所述狭缝与所述环形通道流体连通并且从所述环形通道径向地往内延伸,以输送所述一种或更多种气体。

Description

用于调节气体的局部输送的边缘环
相关申请的交叉引用
本申请要求于2020年4月2日申请的美国临时申请No.63/004,132以及于2020年6月9日申请的美国临时申请No.63/041,694的权益。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本发明总体上涉及衬底处理系统,更具体而言,涉及用于调节气体的局部输送的边缘环。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统通常包括多个处理室(也称为处理模块),其用于执行衬底(如半导体晶片)的沉积、蚀刻、及其他处理。可在衬底上执行的处理的示例包括(但不限于)等离子体增强化学气相沉积(PECVD)、化学增强等离子体气相沉积(CEPVD)、溅镀物理气相沉积(PVD)、原子层沉积(ALD)、以及等离子体增强ALD(PEALD)。可在衬底上执行的工艺的其他示例包括(但不限于)蚀刻(例如化学蚀刻、等离子体蚀刻、反应离子蚀刻等)以及清洁处理。
在处理期间,将衬底设置于衬底处理系统的处理室中的衬底支撑件(例如基座、静电卡盘(ESC)等)上。计算机控制的机械手通常依照待处理衬底的顺序将衬底从一处理室转移至另一处理室。在沉积期间,将包含一种或更多前体的气体混合物导入处理室中,并且激励等离子体以活化化学反应。在蚀刻期间,将包含蚀刻气体的气体混合物导入处理室中,并且激励等离子体以活化化学反应。通过将清洁气体供应至处理室中并激励等离子体以定期地清洁处理室。
发明内容
一种用于衬底处理系统的边缘环包含:环形主体;以及环形通道,其沿着所述环形主体的内径周向地设置于所述环形主体中。所述环形通道包含N个不同区段,其中N为大于1的整数。所述边缘环包括N个注入口,其被周向地设置在所述环形主体上,以分别将一种或更多种气体注入所述环形通道的所述N个不同区段中。所述边缘环包括凸缘,其从所述环形主体的所述内径径向地往内延伸。多个狭缝被设置在所述凸缘中。所述狭缝与所述环形通道流体连通并且从所述环形通道径向地往内延伸,以输送所述一种或更多种气体。
在另一个特征中,所述多个狭缝被配置成在衬底于所述衬底处理系统中进行处理期间将所述一种或更多种气体输送至衬底支撑组件的上周边和设置在所述衬底支撑组件上的所述衬底的外缘下方。
在另一个特征中,所述环形通道包含N个分隔块,其将所述环形通道分隔成所述N个不同区段。
在其他特征中,所述N个注入口彼此相隔的距离相等;并且所述N个分隔块中的每一者被设置在所述N个注入口中的两者之间,并且与所述N个注入口中的所述两者的距离相等。
在另一个特征中,所述环形主体的上表面的外侧部分邻近于所述衬底处理系统的排气口。
在另一个特征中,所述边缘环由硅和硅碳化物中的至少一者所制成。
在还有的其他特征中,一种系统包含:边缘环,其具有N个注入口,其中N为大于1的整数,且所述边缘环被配置成选择性地输送一种或更多种气体。所述系统包括气体输送系统,其被配置成将所述一种或更多种气体供应至所述N个注入口。
在其他特征中,所述边缘环包含:环形通道,其沿着所述边缘环的内径而周向地设置。所述环形通道包含N个不同区段;其中所述N个注入口被周向地设置在所述边缘环上,以分别将所述一种或更多种气体注入所述环形通道的所述N个不同区段中。所述边缘环包括凸缘,其从所述边缘环的所述内径径向地往内延伸。多个狭缝被设置于所述凸缘中。所述狭缝与所述环形通道流体连通并且从所述环形通道径向地往内延伸,以输送所述一种或更多种气体。
在另一个特征中,所述多个狭缝被配置成在所述衬底的处理期间将所述一种或更多种气体输送至衬底支撑组件的上周边和设置于所述衬底支撑组件上的所述衬底的外缘下方。
在其他特征中,所述环形通道包含N个分隔块,其将所述环形通道分隔成所述N个不同区段。所述N个注入口彼此相隔的距离相等。所述N个分隔块中的每一者被设置于所述N个注入口中的两者之间,并且离所述N个注入口中的所述两者的距离相等。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的同一气体供应至所述N个注入口。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的同一气体以相同的流率供应至所述N个注入口。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的同一气体以不同的流率供应至所述N个注入口。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的M种气体供应至所述N个注入口,其中M为整数,且1<M≤N。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的M种气体以所述相同的流率供应至所述N个注入口,其中M为整数,且1<M≤N。
在另一个特征中,所述气体输送系统将所述一种或更多种气体中的M种气体以不同的流率供应至所述N个注入口,其中M为整数,且1<M≤N。
在另一个特征中,所述一种或更多种气体包含反应性气体和惰性气体中的一或多者。
在另一个特征中,所述系统还包含衬底支撑组件,其被配置成支撑衬底,所述衬底包括具有下侧的半导体晶片。所述一种或更多种气体被输送至邻近所述半导体晶片的所述下侧的区域。
在另一个特征中,所述一种或更多种气体将处理期间积聚在所述半导体晶片的所述下侧的蚀刻副产物去除。
在另一个特征中,所述系统还包含:衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片。所述一种或更多种气体被输送至所述半导体晶片的周边附近,从而减少径向扩散并且改善边缘径向均匀性。
在另一个特征中,所述系统还包含具有一个或更多个部件的处理室。所述一种或更多种气体对所述一个或更多个部件中的至少一者进行预涂覆。
在另一个特征中,所述系统还包含:衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片。所述一种或更多种气体提供稀释区,以对扩散至所述半导体晶片的周边的下方以及在所述边缘环与所述衬底支撑组件之间的自由基进行稀释。
在另一个特征中,所述系统还包含:衬底支撑组件,其被配置成支撑衬底,所述衬底包括具有下侧的半导体晶片。所述一种或更多种气体用于在所述半导体晶片的所述下侧形成环部。所述环部用于确定所述半导体晶片是否在所述衬底支撑组件上居中。
在另一个特征中,所述系统还包含:衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片。所述一种或更多种气体对所述衬底支撑组件的位于所述半导体晶片的周边下方的区域进行清洁。
在其他特征中,所述气体输送系统包含多个气体源,其用于供应所述一种或更多种气体;以及多个阀,其与所述多个气体源和所述N个注入口相关联。所述系统还包含控制器,所述控制器被配置成控制所述多个阀以选择性地将所述一种或更多种气体以一种或更多种流率供应至所述N个注入口。
在还有的其他特征中,一种方法包含:环绕处理室的衬底支撑组件而设置边缘环。所述边缘环包含环形通道,所述环形通道被分隔成N个不同区段,其中N为大于1的整数。所述方法包含:将一种或更多种气体经由N个注入口而分别供应至所述环形通道的所述N个不同区段,所述N个注入口被周向地设置在所述边缘环上;以及在所述处理室中进行衬底的处理的期间,经由凸缘中的狭缝将所述一种或更多种气体输送至所述衬底支撑组件的上周边并且设置于所述衬底支撑组件上的所述衬底的外缘下方,其中所述凸缘从所述边缘环的内径径向地往内延伸。
在其他特征中,所述方法还包含:以相同的流率输送所述一种或更多种气体;以及调节所述衬底的所述外缘处的工艺均匀性。
在其他特征中,所述方法还包含:以不同的流率输送所述一种或更多种气体;以及补偿所述衬底的所述外缘处的方位角工艺非均匀性。
在其他特征中,所述衬底包括半导体晶片,所述处理包括蚀刻处理,且所述一种或更多种气体包括反应性气体,并且所述方法还包含通过在所述蚀刻处理期间从所述边缘环输送所述反应性气体而防止材料积聚在所述衬底的所述外缘下方。
在其他特征中,所述衬底包括半导体晶片,所述处理包括蚀刻处理,且所述一种或更多种气体包括惰性气体,并且所述方法还包含通过在所述蚀刻处理期间从所述边缘环输送所述惰性气体而在所述蚀刻处理期间保护所述衬底支撑组件的区域。
在其他特征中,所述衬底包括清洁晶片,所述处理包括清洁处理,且所述一种或更多种气体包括惰性气体,并且所述方法还包含通过在所述清洁处理期间从所述边缘环输送所述惰性气体而保护所述处理室的邻近所述边缘环的部件在所述清洁处理期间不被耗损。
在其他特征中,所述衬底包括清洁晶片,所述处理包括清洁处理,且所述一种或更多种气体包括清洁气体,并且所述方法还包含通过在所述清洁处理期间从所述边缘环输送所述清洁气体而在所述清洁处理期间清洁所述处理室的邻近所述边缘环的部件。
在其他特征中,所述方法还包含:通过使用所述一种或更多种气体在所述衬底的所述外缘下方按图案沉积材料;以及基于所述图案是否与所述衬底的中心是同心的,确定所述衬底是否在所述衬底支撑组件上居中。
在另一特征中,所述方法还包含:通过从所述边缘环输送所述一种或更多种气体而在所述衬底的外缘上沉积材料。
在另一特征中,所述方法还包含:通过从所述边缘环输送所述一种或更多种气体而在所述处理室的邻近所述边缘环的部件上沉积涂层。
在另一特征中,所述方法还包含:以相同的流率经由所述N个注入口将所述一种或更多种气体供应至所述环形通道的所述N个不同区段。
在另一特征中,所述方法还包含:以不同的流率经由所述N个注入口将所述一种或更多种气体供应至所述环形通道的所述N个不同区段。
在其他特征中,所述方法还包含:以第一流率经由所述N个注入口中的第一者供应所述一种或更多种气体中的第一气体;以及以第二流率经由所述N个注入口中的第二者供应所述一种或更多种气体中的第二气体。
在其他特征中,所述第一气体包括反应性气体,且其中所述第二气体包括惰性气体。
在其他特征中,所述第一气体包括第一反应性气体,且所述第二气体包括第二反应性气体。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1显示了包含处理室的衬底处理系统的示例;
图2A根据本公开显示了边缘环的立体图;
图2B根据本公开显示了边缘环的平面图;
图2C-2G根据本公开显示了边缘环的各种特征;
图3A根据本公开显示了与衬底支撑组件一起使用的边缘环;
图3B根据本公开显示了与边缘环一起使用的气体输送系统的示例;
图3C-3E根据本公开显示了在衬底处理系统中使用边缘环的示例;
图4显示了当根据本公开从边缘环供应调节气体时与当从处理室的顶部供应调节气体时的工艺结果之间的比较。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
工艺气体及前体通常从处理室的上部被输送至晶片表面。例如,在电介质蚀刻工具中,工艺气体从喷头输送,其中该喷头被设计成馈送工艺气体经过处理室的上电极。在这些工具中,将反应物或工艺气体输送至晶片表面的操作取决于包括喷头与晶片表面之间的间隙、气体流率及压力、约束机制等的因素。归因于沿该间隙的气体扩散,使得在晶片边缘处输送的气体对晶片中心处的处理结果有可测量的影响。
目前,边缘调节气体从处理室的上端经由喷头提供。该特征的扩散长度规模造成对整个晶片的工艺影响,其还取决于晶片间隙。此外,从上电极注入的调节气体会影响处理室的上电极和下电极。取而代之,可提供对晶片具有局部效应而对上电极表面的影响最小化的更局部的气体调节旋钮(tuning knob)。
本公开内容提供了一种边缘环,其可通过提供直接通往晶片斜面的气体馈送路径以局部地输送调节气体至晶片边缘。边缘环可以将调节气体输送至晶片斜面的下侧,靠近反应器处理区域中气体的抽出(排气)路径。调节气体的这种局部输送有效地减小了扩散长度规模,其使得调节气体对工艺的影响更加局部化。具体而言,边缘环从反应器的下侧(而非顶部)在晶片的极限边缘/斜面处局部地注入调节气体。因此,边缘环在处理期间提供晶片边缘处的局部气体调节旋钮(tuning knob),其对晶片间隙的敏感度减小。
如下文中所详细说明的,可以在晶片处理期间使用调节气体以防止聚合物副产物积聚在晶片斜面的下侧。与从喷头注入的调节气体相比,当以径向对称特征的形式实施时,调节气体可用于在不同的长度规模上调节极限边缘径向均匀性。在某些实现方案中,径向气流也可以是非均匀分布的,其用于在处理期间补偿边缘主导的方位角不均匀性。此外,该调节气体特征可在无晶片自动清洁(WAC)以及覆盖晶片自动清洁(CWAC)序列期间利用,以改善ESC边缘上和边缘环上的清洁效率。此外,所注入的气体或气体混合物可用于将化学品局部地沉积于晶片斜面或边缘环上。惰性气体也可用于为ESC的在处理期间易受自由基侵袭的区域提供缓冲/稀释区和/或可用于保护在清洁期间经历高耗损率的部件。此外,可注入调节气体以蚀刻晶片斜面的下侧,以产生对于晶片放置/居中操作有用的图案,如下文中所说明的。
通过根据本公开内容提供调节气体,使得工艺调谐能力因扩散长度缩短而更加局部化至晶片的边缘。调节气体提供高度局部化的自由基源,其可用于在清洁和晶片处理期间清洁晶片斜面,且对晶片表面的影响有限。可通过调节流向晶片边缘的气流而调整调节气体输送的有效半径。此外,调节气体特征也可用于选择性地清洁或沉积(预涂覆)边缘环或石英耦合环上的材料,而不会显著影响上电极上的膜。
由于根据本公开内容的边缘环被分隔成多个区段且包括相应的注入口,因此上述各种类型的气体注入(其在下文中参照图3A-3E而详细描述)是可能的。通过使用注入口,可将一种或更多种气体以不同流率注入边缘环的不同区段中。本公开内容的这些以及其他特征在下文中详细描述。
本公开内容组织如下。图1显示了包括处理室的衬底处理系统的示例,在该处理室中可使用本公开内容的边缘环。图2A-2G显示了根据本公开内容的边缘环的各种视图及特征。图3A-3E显示了根据本公开内容的使用状态下的边缘环。图4显示了从根据本公开内容的边缘环供应的调节气体产生比从处理室的顶端供应调节气体时更佳的结果。
图1显示了包含被配置成产生电容耦合式等离子体的处理室102的衬底处理系统100的示例。处理室102包围衬底处理系统100的其他部件并且容纳RF等离子体(如果使用的话)。处理室102包含上电极104和静电卡盘(ESC)106或其他类型的衬底支撑件。在操作期间,将衬底108设置在ESC106上。
例如,上电极104可包含气体分配设备110(例如喷头),其导入和分配工艺气体。气体分配设备110可包含杆部,该杆部包含连接于处理室102的顶部表面的一端。喷头的基部一般为圆柱形,且由杆部的另一端(位于与处理室102的顶部表面相隔开的位置)径向往外延伸。喷头的基部的面对衬底的表面或面板包含多个孔,汽化的前体、工艺气体、清洁气体、或清扫气体流过这些孔。替代地,上电极104可包含传导板,且气体可经由另一方式导入。
ESC106包含基板112,其用作下电极。基板112支撑加热板114,加热板114可对应于陶瓷多区带加热板。可将热阻层116设置于加热板114与基板112之间。基板112可包含一个或更多通道118,其用于使冷却剂流过基板112。
如果使用等离子体,则RF产生系统(或RF源)120产生并输出RF电压至上电极104和下电极(例如,ESC106的基板112)中的一者。上电极104及基板112中的另一者可以是DC接地、AC接地或浮动的。例如,RF产生系统120可包含产生RF功率的RF产生器122,该RF功率通过匹配和分配网络124馈送至上电极104或基板112。在其他示例中,虽然未图示,但可感应式地或远程地产生等离子体,然后将其供应至处理室102。
气体输送系统130包含一个或更多气体源132-1、132-2、…、以及132-N(统称气体源132),其中N为大于零的整数。通过阀134-1、134-2、…、以及134-N(统称阀134)和质量流量控制器136-1、136-2、…、以及136-N(统称质量流量控制器136)将气体源132连接至歧管140。蒸气输送系统142将汽化的前体供应到连接至处理室102的歧管140或另一歧管(未图示)。歧管140的输出被馈送至处理室102。气体源132可供应工艺气体、清洁气体和/或清扫气体。
可将温度控制器150连接至设置于加热板114中的多个热控制元件(TCEs)152。温度控制器150可用于控制多个TCEs152,以控制ESC106及衬底108的温度。温度控制器150可以与冷却剂组件154进行通信,以控制流过通道118的冷却剂。例如,冷却剂组件154可以包含冷却剂泵、贮存器、以及一个或更多温度传感器(未示出)。温度控制器150操作冷却剂组件154以选择性地使冷却剂流过通道118,从而将ESC106冷却。阀156和泵158可用于从处理室102抽排反应物。系统控制器160控制衬底处理系统100的部件。
图2A-2G显示了根据本公开内容的边缘环200的各种视图和特征。图2A显示了边缘环200的立体图。图2B显示了边缘环200的平面图。图2C-2G详细地显示了边缘环200的特征。
在图2A和2B中,边缘环200包含环形通道202。环形通道202并非围绕边缘环200的整个圆周被完全切割。取而代之,环形通道202被分隔成不同的区段,这些区段彼此不流体连通,如下文中所说明的。环形通道202的横截面被显示于图2E中。
边缘环200包含沿边缘环200的周缘或周边(圆周)设置的多个注入口204-1、204-2和204-3(统称为注入口204)。可经由注入口204将一种或更多气体注入环形通道202中,如下文中所详细描述的。图2D显示了注入口204中的一者的其他视图。
虽然仅为示例而显示了三个注入口,但边缘环200可以包含任何数量的注入口。例如,当边缘环200包含两个注入口时,这些注入口可沿边缘环200的圆周而设置在直径相对的位置。例如,当边缘环200包含两个以上的注入口时,这些注入口可环绕边缘环200而对称地分布。例如,当边缘环200包含三个注入口时,这些注入口形成沿边缘环200的圆周定位的等边三角形的顶点。替代地,三个注入口可形成沿边缘环200的圆周定位的等腰三角形的顶点。例如,当边缘环200包含四个注入口时,这些注入口形成沿边缘环200的圆周定位的正方形的顶点。或者,四个注入口可形成沿边缘环200的圆周定位的矩形或菱形等的顶点。考虑了许多其他的沿边缘环200的圆周的注入口204的几何配置。
通过设置(例如,嵌入)在环形通道202中的分隔块(参见图2C中的元件206)而将环形通道202分隔成多个不相交的区段(也称为部分或隔间)。环形通道202中的分隔块数量以及环形通道202的区段数量等于注入口204的数量。例如,在图2A和2B中,由于显示出三个注入口204,因此环形通道202被三个分隔块206-1、206-2和206-3(统称为分隔块206)分隔成三个区段207-1、207-2和207-3(统称为区段207)。
分隔块206按照与注入口204相似的几何配置方式设置。分隔块206离注入口204的距离相等,且彼此相隔的距离相等。例如,在图2A和2B所示的示例中,由于三个注入口204间隔120度,因此三个分隔块206也间隔120度并且与三个注入口204间隔60度。每个分隔块206在分隔块206的任一侧离其相邻的注入口204的距离相等。在图2A和2B所示的示例中,与位于等边三角形顶点上的三个注入口204相似,三个分隔块206也位于等边三角形的顶点上。
边缘环200包含从边缘环200的内径径向往内(即,朝向边缘环200的中心)延伸的凸缘210。凸缘210包含多个狭缝208,其与环形通道202流体连通并且从环形通道202径向往内延伸。注入至注入口204中的一种或更多气体进入环形通道202的相应区段207,并从与环形通道202的相应区段207相关联的狭缝208离开。图2C和2D显示了狭缝208中的一者的其他视图。图2F和2G详细地显示了狭缝208中的一者。
例如,边缘环200可以由硅和硅碳化物制成。虽然硅是具挑战性的材料,但边缘环200可以由硅制成,其在处理室的其他部件也是由硅制成的情况下是优选的。一般而言,边缘环可以由用于制造处理室的部件的任何可加工陶瓷或非陶瓷材料所制成。可基于在处理室中执行的处理以及所使用的衬底处理工具的类型而选择材料。
图3A-3E显示了根据本公开内容的使用状态下的边缘环200。图3A显示了使用边缘环200的气体输送。图3B显示了将一种或更多种气体供应至边缘环200的气体输送系统。图3C和3D显示了使用边缘环200的极限边缘均匀性控制。图3E显示了利用边缘环200所产生的惰性气体屏障,其用于减缓对ESC的自由基侵蚀。
图3A显示了衬底支撑组件300(例如,图1中所示的ESC106)的示例,其包含基板302(例如,图1中所示的基板112)以支撑晶片304(例如,图1中所示的衬底108)。虽然为了简化说明而未图示,但基板302包含支撑晶片304的陶瓷/顶层。气体输送系统303(例如,图1中所示的气体输送系统130)将一种或更多种气体输送至边缘环200。气体输送系统303与边缘环200之间的连接的示例被显示于图3B中。
边缘环200如306所示地输送调节气体。从边缘环200至晶片304下侧的气体输送点较靠近在308所示的处理室的抽出或排气路径,其有助于使从边缘环200至晶片边缘的气体输送保持高度局部化(即,被限制于晶片边缘),如306所示。
图3B显示了气体输送系统303。气体输送系统303包括多个气体源350、多个阀352、多个质量流量控制器354和控制器356(例如,图1中所示的控制器160)。气体源350、阀352和质量流量控制器354可以与图1中所示的气体源132、阀134和质量流量控制器136相似。气体源350可供应一种或更多种调节气体、惰性气体和下述的其他气体。控制器356控制阀352和质量流量控制器354以将相同的气体、不同的气体、或气体混合物(其可以相同或不同的流率和压力供应)供应至边缘环200的注入口204,如下所述。
有时,在处理室(例如,图1中所示的处理室102)中进行晶片处理时,由于晶片304的背侧未暴露于等离子体(未图示)的直接离子轰击,因此聚合物或某些其他类型的蚀刻副产物残留物往往会积聚在晶片304的背侧。例如,积聚在晶片斜面下侧的反应物和自由基不会被蚀刻掉,并且导致晶片斜面下侧的环形沉积物。该问题可以许多方式解决。
例如,可对从边缘环200注入的气体进行选择,以使所注入的气体可以与积聚在晶片斜面下侧的材料进行化学反应。例如,气体可包含反应性气体。替代地,从边缘环200注入的气体可以包含惰性气体,其可稀释或降低材料的浓度并且防止材料积聚在晶片斜面的下侧。所注入的惰性气体也不干扰处理室中正在进行的处理。因此,从边缘环200局部地注入的一种或更多种气体可控制晶片斜面下侧附近的化学品或化学反应,以防止蚀刻副产物在处理室中进行处理的期间沉积于晶片斜面下侧,而不影响处理室中正在进行的处理。
图3C和3D显示了在处理室(例如,图1所示的处理室102)中设置于衬底支撑组件300上方的顶板310。喷头(例如,图1中所示的喷头104)被设置在顶板310中。顶板310中的喷头与晶片304之间的距离通常使得从喷头输送至晶片304的气体在喷头至晶片304之间径向地扩散,如图3C中的312所示。
应注意,在边缘环200的气体注入点与晶片边缘之间的距离显著地小于顶板310中的喷头与晶片304之间的距离。减小从边缘环200的气体注入点与晶片边缘之间的距离使得在晶片边缘附近径向扩散的程度下降,如图3D中的314所示。因此,通过更靠近晶片边缘地从边缘环200注入调节气体,可控制扩散作用,并因此可改善极限边缘径向均匀性。即,通过使从边缘环200的气体注入点靠近晶片边缘,可减少因晶片边缘附近的扩散而造成的不均匀性。
通过利用控制器356控制阀352和质量流量控制器354,可以在径向上均匀地或非均匀地从边缘环200分配气流。例如,可均匀地(即,径向对称地)经由注入口204注入蚀刻气体,使得相同浓度的蚀刻气体在边缘环200的周围沿方位角地注入。也可以非均匀地(即,径向非对称地)经由注入口204注入蚀刻气体,使得可以在边缘环200周围的不同区域输送不同量的蚀刻气体。例如,可单独地控制通过注入口204中的每一者的蚀刻气体的流率。
此外,可经由注入口204而选择性地注入不同的气体。可通过受控的方式以不同流率经由注入口204注入不同的气体,以解决各种不均匀性问题,包括方位角不均匀性问题。例如,可经由注入口204以相同或不同的流率将相同(即,单一)的气体注入。替代地,可以相同的流率或以各自不同的流率等经由各自的注入口204将两种或更多种不同的气体注入。例如,不同的气体可以包含不同反应性气体的组合、惰性气体与反应性气体的组合等。
经由边缘环200而进行的气体注入还具有其他应用。例如,在CWAC期间,衬底支撑组件300在晶片悬伸部下方的区域难以清洁。可利用经由边缘环200注入的一种或更多种气体以清洁这些区域。此外,在某些处理室中,可对处理室的某些部件进行预涂覆。可通过经由边缘环200注入气体而执行该预涂覆操作。
图3E显示了可经由边缘环200注入惰性气体以提供缓冲或稀释区,以对可能如318所示地扩散至晶片304下方以及边缘环200与衬底支撑组件300之间的自由基进行稀释。例如,这些自由基可能侵蚀在320所示的衬底支撑组件300与基板302之间的接合。通过经由边缘环200注入的惰性气体以稀释这些自由基可延迟、最小化、或避免侵蚀作用。这种从缝隙中清扫自由基的操作可在处理晶片时、在清洁处理室时(其中该步骤可以是单独的清扫步骤)、或在处理室闲置时(其中这可以是独立的清扫步骤)进行。
此外,可使用边缘环气体注入方案选择性地保护(例如,预涂覆)和/或清洁处理室的在边缘环200附近的一些部件。例如,一些部件在室清洁期间可能会经历高度耗损。上述稀释方法可用于防止这些部件在清洁处理过程中的过度耗损。此外,可采用偏好性保护方案,在该方案中,在清洁处理期间部件需受保护的位置处注入惰性气体。相反地,注入反应性气体以在清洁处理无法充分清洁部件的位置处增强清洁作用。
以上参照图3A-3E而描述的各种类型的气体注入都是可能的,因为边缘环被分成多个区段207且包含各自的注入口204。此外,由于气体输送系统303可利用阀352和质量流量控制器354以上述不同方式供应不同的气体,因此各种类型的气体注入是可能的。
当晶片304在处理期间被设置在衬底支撑组件300上时,晶片304需要在衬底支撑组件300上居中。上述的边缘环气体注入系统可用于在从衬底支撑组件300悬伸的晶片304的下侧区域上沉积材料。这种沉积在晶片304的下侧产生环部。可检查该环部以验证晶片304是否在衬底支撑组件300上居中。如果该环部与晶片304的中心是同心的,则晶片304在衬底支撑组件300上居中。
图4显示了当从边缘环200供应调节气体时与当从处理室的顶端供应调节气体时的处理结果之间的比较。该图显示了从边缘环200供应的调节气体产生比从处理室的顶端供应调节气体时更佳的结果。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。
应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。
根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。
程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。
在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。
因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (40)

1.一种用于衬底处理系统的边缘环,所述边缘环包含:
环形主体;
环形通道,其沿着所述环形主体的内径周向地设置于所述环形主体中,所述环形通道包含N个不同区段,其中N为大于1的整数;
N个注入口,其被周向地设置在所述环形主体上,以分别将一种或更多种气体注入所述环形通道的所述N个不同区段中;
凸缘,其从所述环形主体的所述内径径向地往内延伸;以及
多个狭缝,其被设置在所述凸缘中,所述狭缝与所述环形通道流体连通并且从所述环形通道径向地往内延伸,以输送所述一种或更多种气体。
2.根据权利要求1所述的边缘环,其中所述多个狭缝被配置成在衬底于所述衬底处理系统中进行处理期间将所述一种或更多种气体输送至衬底支撑组件的上周边和设置在所述衬底支撑组件上的所述衬底的外缘下方。
3.根据权利要求1所述的边缘环,其中所述环形通道包含N个分隔块,其将所述环形通道分隔成所述N个不同区段。
4.根据权利要求3所述的边缘环,其中:
所述N个注入口彼此相隔的距离相等;并且
所述N个分隔块中的每一者被设置在所述N个注入口中的两者之间,并且与所述N个注入口中的所述两者的距离相等。
5.根据权利要求1所述的边缘环,其中所述环形主体的上表面的外侧部分邻近于所述衬底处理系统的排气口。
6.根据权利要求1所述的边缘环,其中所述边缘环由硅和硅碳化物中的至少一者所制成。
7.一种系统,其包含:
边缘环,其具有N个注入口,其中N为大于1的整数,且所述边缘环被配置成选择性地输送一种或更多种气体;以及
气体输送系统,其被配置成将所述一种或更多种气体供应至所述N个注入口。
8.根据权利要求7所述的系统,其中所述边缘环包含:
环形通道,其沿着所述边缘环的内径而周向地设置,所述环形通道包含N个不同区段;
其中所述N个注入口被周向地设置在所述边缘环上,以分别将所述一种或更多种气体注入所述环形通道的所述N个不同区段中;
凸缘,其从所述边缘环的所述内径径向地往内延伸;以及
多个狭缝,其被设置于所述凸缘中,所述狭缝与所述环形通道流体连通并且从所述环形通道径向地往内延伸,以输送所述一种或更多种气体。
9.根据权利要求8所述的系统,其中所述多个狭缝被配置成在所述衬底的处理期间将所述一种或更多种气体输送至衬底支撑组件的上周边和设置于所述衬底支撑组件上的所述衬底的外缘下方。
10.根据权利要求8所述的系统,其中:
所述环形通道包含N个分隔块,其将所述环形通道分隔成所述N个不同区段;
所述N个注入口彼此相隔的距离相等;并且
所述N个分隔块中的每一者被设置于所述N个注入口中的两者之间,并且离所述N个注入口中的所述两者的距离相等。
11.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的同一气体供应至所述N个注入口。
12.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的同一气体以相同的流率供应至所述N个注入口。
13.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的同一气体以不同的流率供应至所述N个注入口。
14.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的M种气体供应至所述N个注入口,其中M为整数,且1<M≤N。
15.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的M种气体以所述相同的流率供应至所述N个注入口,其中M为整数,且1<M≤N。
16.根据权利要求7所述的系统,其中所述气体输送系统将所述一种或更多种气体中的M种气体以不同的流率供应至所述N个注入口,其中M为整数,且1<M≤N。
17.根据权利要求7所述的系统,其中所述一种或更多种气体包含反应性气体和惰性气体中的一或多者。
18.根据权利要求7所述的系统,其还包含:
衬底支撑组件,其被配置成支撑衬底,所述衬底包括具有下侧的半导体晶片;
其中所述一种或更多种气体被输送至邻近所述半导体晶片的所述下侧的区域。
19.根据权利要求18所述的系统,其中所述一种或更多种气体将处理期间积聚在所述半导体晶片的所述下侧的蚀刻副产物去除。
20.根据权利要求7所述的系统,其还包含:
衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片;
其中所述一种或更多种气体被输送至所述半导体晶片的周边附近,从而减少径向扩散并且改善边缘径向均匀性。
21.根据权利要求7所述的系统,其还包含具有一个或更多个部件的处理室,其中所述一种或更多种气体对所述一个或更多个部件中的至少一者进行预涂覆。
22.根据权利要求7所述的系统,其还包含:
衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片;
其中所述一种或更多种气体提供稀释区,以对扩散至所述半导体晶片的周边的下方以及在所述边缘环与所述衬底支撑组件之间的自由基进行稀释。
23.根据权利要求7所述的系统,其还包含:
衬底支撑组件,其被配置成支撑衬底,所述衬底包括具有下侧的半导体晶片;
其中所述一种或更多种气体用于在所述半导体晶片的所述下侧形成环部;以及
其中所述环部用于确定所述半导体晶片是否在所述衬底支撑组件上居中。
24.根据权利要求7所述的系统,其还包含:
衬底支撑组件,其被配置成支撑衬底,所述衬底包括半导体晶片;
其中所述一种或更多种气体对所述衬底支撑组件的位于所述半导体晶片的周边下方的区域进行清洁。
25.根据权利要求7所述的系统,其中:
所述气体输送系统包含:
多个气体源,其用于供应所述一种或更多种气体;以及
多个阀,其与所述多个气体源和所述N个注入口相关联;并且
所述系统还包含控制器,所述控制器被配置成控制所述多个阀以选择性地将所述一种或更多种气体以一种或更多种流率供应至所述N个注入口。
26.一种方法,其包含:
环绕处理室的衬底支撑组件而设置边缘环,所述边缘环包含环形通道,所述环形通道被分隔成N个不同区段,其中N为大于1的整数;
将一种或更多种气体经由N个注入口而分别供应至所述环形通道的所述N个不同区段,所述N个注入口被周向地设置在所述边缘环上;以及
在所述处理室中进行衬底的处理的期间,经由凸缘中的狭缝将所述一种或更多种气体输送至所述衬底支撑组件的上周边并且设置于所述衬底支撑组件上的所述衬底的外缘下方,其中所述凸缘从所述边缘环的内径径向地往内延伸。
27.根据权利要求26所述的方法,其还包含:
以相同的流率输送所述一种或更多种气体;以及
调节所述衬底的所述外缘处的工艺均匀性。
28.根据权利要求26所述的方法,其还包含:
以不同的流率输送所述一种或更多种气体;以及
补偿所述衬底的所述外缘处的方位角工艺非均匀性。
29.根据权利要求26所述的方法,其中所述衬底包括半导体晶片,所述处理包括蚀刻处理,且所述一种或更多种气体包括反应性气体,所述方法还包含通过在所述蚀刻处理期间从所述边缘环输送所述反应性气体而防止材料积聚在所述衬底的所述外缘下方。
30.根据权利要求26所述的方法,其中所述衬底包括半导体晶片,所述处理包括蚀刻处理,且所述一种或更多种气体包括惰性气体,所述方法还包含通过在所述蚀刻处理期间从所述边缘环输送所述惰性气体而在所述蚀刻处理期间保护所述衬底支撑组件的区域。
31.根据权利要求26所述的方法,其中所述衬底包括清洁晶片,所述处理包括清洁处理,且所述一种或更多种气体包括惰性气体,所述方法还包含通过在所述清洁处理期间从所述边缘环输送所述惰性气体而保护所述处理室的邻近所述边缘环的部件在所述清洁处理期间不被耗损。
32.根据权利要求26所述的方法,其中所述衬底包括清洁晶片,所述处理包括清洁处理,且所述一种或更多种气体包括清洁气体,所述方法还包含通过在所述清洁处理期间从所述边缘环输送所述清洁气体而在所述清洁处理期间清洁所述处理室的邻近所述边缘环的部件。
33.根据权利要求26所述的方法,其还包含:
通过使用所述一种或更多种气体在所述衬底的所述外缘下方按图案沉积材料;以及
基于所述图案是否与所述衬底的中心是同心的,确定所述衬底是否在所述衬底支撑组件上居中。
34.根据权利要求26所述的方法,其还包含:通过从所述边缘环输送所述一种或更多种气体而在所述衬底的外缘上沉积材料。
35.根据权利要求26所述的方法,其还包含:通过从所述边缘环输送所述一种或更多种气体而在所述处理室的邻近所述边缘环的部件上沉积涂层。
36.根据权利要求26所述的方法,其还包含:以相同的流率经由所述N个注入口将所述一种或更多种气体供应至所述环形通道的所述N个不同区段。
37.根据权利要求26所述的方法,其还包含:以不同的流率经由所述N个注入口将所述一种或更多种气体供应至所述环形通道的所述N个不同区段。
38.根据权利要求26所述的方法,其还包含:
以第一流率经由所述N个注入口中的第一者供应所述一种或更多种气体中的第一气体;以及
以第二流率经由所述N个注入口中的第二者供应所述一种或更多种气体中的第二气体。
39.根据权利要求38所述的方法,其中所述第一气体包括反应性气体,且其中所述第二气体包括惰性气体。
40.根据权利要求38所述的方法,其中所述第一气体包括第一反应性气体,且其中所述第二气体包括第二反应性气体。
CN202180027087.XA 2020-04-02 2021-03-12 用于调节气体的局部输送的边缘环 Pending CN115362544A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063004132P 2020-04-02 2020-04-02
US63/004,132 2020-04-02
US202063041694P 2020-06-19 2020-06-19
US63/041,694 2020-06-19
PCT/US2021/022036 WO2021202080A1 (en) 2020-04-02 2021-03-12 Edge ring for localized delivery of tuning gas

Publications (1)

Publication Number Publication Date
CN115362544A true CN115362544A (zh) 2022-11-18

Family

ID=77929392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180027087.XA Pending CN115362544A (zh) 2020-04-02 2021-03-12 用于调节气体的局部输送的边缘环

Country Status (6)

Country Link
US (1) US20230128551A1 (zh)
JP (1) JP2023520035A (zh)
KR (1) KR20220160687A (zh)
CN (1) CN115362544A (zh)
TW (1) TW202204686A (zh)
WO (1) WO2021202080A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115558905B (zh) * 2022-12-01 2023-07-07 浙江晶越半导体有限公司 一种提高碳化硅沉积速率与均匀性的方法与反应器

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리

Also Published As

Publication number Publication date
KR20220160687A (ko) 2022-12-06
JP2023520035A (ja) 2023-05-15
TW202204686A (zh) 2022-02-01
WO2021202080A1 (en) 2021-10-07
US20230128551A1 (en) 2023-04-27

Similar Documents

Publication Publication Date Title
US20200219757A1 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
US20200335304A1 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
CN110383454B (zh) 底部边缘环和中部边缘环
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
CN110506326B (zh) 可移动的边缘环设计
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
CN111433902A (zh) 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
CN115362544A (zh) 用于调节气体的局部输送的边缘环
CN112640084A (zh) 具有延长寿命的约束环
CN117222773A (zh) 衬底上背面沉积的防止
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
CN114008738B (zh) 用于衬底处理系统的缩小直径承载环硬件
CN113506719B (zh) 包括具有高纯sp3键的cvd金刚石涂层的部件
WO2021195453A1 (en) Plasma-exclusion-zone rings for processing notched wafers
WO2021146099A1 (en) Multizone gas distribution plate for trench profile optimization
WO2021168027A1 (en) Coolant channel with internal fins for substrate processing pedestals

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination