TW201817899A - 以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制 - Google Patents

以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制 Download PDF

Info

Publication number
TW201817899A
TW201817899A TW106127406A TW106127406A TW201817899A TW 201817899 A TW201817899 A TW 201817899A TW 106127406 A TW106127406 A TW 106127406A TW 106127406 A TW106127406 A TW 106127406A TW 201817899 A TW201817899 A TW 201817899A
Authority
TW
Taiwan
Prior art keywords
substrate
edge ring
flow rate
processing
gas
Prior art date
Application number
TW106127406A
Other languages
English (en)
Inventor
張依婷
沙拉維納布里恩 斯里拉曼
艾立克斯 派特森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201817899A publication Critical patent/TW201817899A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

一種基板支撐件,位於一基板處理系統中,該基板支撐件包含一內部部分、及一外部部分。該內部部分係設置於一氣體分配裝置下方,該氣體分配裝置係用以將第一處理氣體引導向該內部部分。該外部部分包含一邊緣環,該邊緣環係環繞該內部部分的一外周邊而設置以至少部分地圍繞該內部部分、及佈置於該內部部分上的一基板。該邊緣環係用以相對於該內部部分而升高及降低,並用以將第二處理氣體引導向該內部部分。一控制器判定於處理期間沉積在該基板上的材料之分佈,並基於判定的該分佈而選擇性地調整該邊緣環的一位置、及選擇性地調整該第一處理氣體及該第二處理氣體其中至少一者的流量。

Description

以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制
本揭露內容係關於基板處理,且更具體而言係關於控制基板處理中之蝕刻均勻性的系統及方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以處理基板(例如,半導體晶圓)。可於基板上執行之範例性處理包含(但不限於)化學汽相沉積(CVD)、原子層沉積(ALD)、導體蝕刻及/或其它蝕刻、沉積、或清潔處理。可將基板配置於基板處理系統之處理腔室中的一基板支撐件(例如底座、靜電卡盤(ESC)等)上。於蝕刻期間,可將包含一或更多前驅物的氣體混合物導入處理腔室中,並可使用電漿來啟動化學反應。
基板支撐件可包含用以支撐晶圓的陶瓷層。舉例而言,晶圓在處理期間係被夾持至陶瓷層上。基板支撐件可包含一邊緣環,該邊緣環係圍繞基板支撐件的外部部分而配置(例如,在周邊之外及/或與周邊相鄰)。可設置邊緣環以將電漿限制於基板上方之容積,保護基板支撐件免於電漿等引起的侵蝕。
一種基板支撐件,位於一基板處理系統中,該基板支撐件包含一內部部分、及一外部部分。該內部部分係設置於一氣體分配裝置下方,該氣體分配裝置係用以將第一處理氣體引導向該內部部分。該外部部分包含一邊緣環,該邊緣環係環繞該內部部分的一外周邊而設置以至少部分地圍繞該內部部分、及佈置於該內部部分上的一基板。該邊緣環係用以相對於該內部部分而升高及降低,並用以將第二處理氣體引導向該內部部分。一控制器判定於處理期間沉積在該基板上的材料之分佈,並基於判定的該分佈而選擇性地調整該邊緣環的一位置、及選擇性地調整該第一處理氣體及該第二處理氣體其中至少一者的流量。
一種於基板處理系統中處理基板的方法,該方法包含提供一基板支撐件,該基板支撐件具有一內部部分及一外部部分。該內部部分係設置於一氣體分配裝置下方,且該外部部分包含一邊緣環,該邊緣環係環繞該內部部分的一外周邊而設置以至少部分地圍繞該內部部分、及佈置於該內部部分上的一基板。該方法更包含:藉由使用該氣體分配裝置而將第一處理氣體引導向該內部部分;藉由使用該邊緣環而將第二處理氣體引導向該內部部分;判定於處理期間沉積在該基板上的材料之分佈;相對於該內部部分而選擇性地將該邊緣環的一位置向上或向下調整;及選擇性地調整該第一處理氣體及該第二處理氣體其中至少一者的流量。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
基板處理系統中的基板支撐件可包含邊緣環。邊緣環的上表面可在基板支撐件的上表面上方延伸,導致基板支撐件的上表面(以及,在一些範例中,佈置在基板支撐件上之基板的上表面)相對於邊緣環而凹陷。此凹陷可稱為袋部(pocket)。在邊緣環的上表面與基板的上表面之間的距離可稱為「袋部深度」。一般而言,袋部深度係根據邊緣環相對於基板之上表面的高度而固定的。若需要不同的袋部深度,則必須手動更換邊緣環,但這可能會受限於晶圓搬運限制、處理限制、腔室限制等。
蝕刻處理的一些面向可因基板處理系統、基板、氣體混合物等的特性而改變。舉例而言,流動圖案(因此還有蝕刻率及蝕刻均勻性)可根據邊緣環的袋部深度、邊緣環幾何結構(換言之,形狀)等而改變。在一些範例處理中,整體蝕刻率隨著在基板的上表面與氣體分配裝置的底部表面之間的距離增加而改變。此外,從基板的中央至基板的外周邊之蝕刻率可能改變。舉例而言,在基板的外周邊,鞘層彎曲及離子傾斜可導致淺溝槽隔離(STI,shallow trench isolation)傾斜,且與反應性物種(例如,蝕刻劑及/或沉積前驅物)相關連的化學品加載可導致硬遮罩臨界尺寸下滑。此外,例如蝕刻副產物這樣的材料可再沉積在基板上。蝕刻率可根據其他處理參數而變化,其中包含(但不限於)在整個基板上表面上的氣體速度。例如,可影響處理結果之與各種處理氣體的注射(舉例而言,包含了來自中央噴嘴、側面調諧噴嘴的注射等)相關連之參數包含(但不限於)氣體流率、氣體物種、注射角度、注射位置等。
因此,改變邊緣環的構造(例如,包含邊緣環高度、及/或幾何結構)可修改在整個基板表面上的氣體速度輪廓(gas velocity profile)。相似地,調整與各種處理氣體之注射相關連的參數亦可影響處理結果。僅以舉例而言,氣體注射參數可包含但(不限於)氣體流量、氣體物種、注射角度、注射位置等。根據本揭露內容之原理的可變深度邊緣環系統及方法結合了調整邊緣環高度、及調整基板處理期間之處理氣體注射的參數來控制蝕刻均勻性。以此方式,可對氣流再循環及相關連的副產物沉積進行調製。
舉例而言,邊緣環可連接至一作動器,該作動器係用以回應控制器、使用者界面等而使邊緣環升高及降低。在一範例中,基板處理系統的控制器根據執行的特定配方、及相關連的氣體注射參數而在處理期間、於處理步驟之間等控制邊緣環的高度。控制器可用以相應地調整氣體注射參數。僅舉例而言,控制器可儲存將邊緣環高度等索引至與處理氣體注射相關連的一或更多參數之數據(例如,查閱表)。該數據可進一步將邊緣環高度及氣體注射參數、與整個基板上的蝕刻副產物分佈相關聯。該數據可對應於預定(例如,經校準或程式化的)數據、使用者經由介面提供的數據等。以此方式,可藉由根據蝕刻副產物分佈而於處理期間動態地調整邊緣環高度及氣體注射參數來達成期望的蝕刻均勻性。在一些範例中,邊緣環可包含用以注射額外之側面調諧氣體的氣體注射噴嘴。
現在參照圖1,顯示了範例性基板處理系統100。僅以舉例而言,基板處理系統100可用以藉由使用RF電漿而執行蝕刻及/或用於其它合適的基板處理。基板處理系統100包含處理腔室102,其包圍基板處理系統100的其它構件並容納RF電漿。基板處理腔室102包含上電極104、及基板支撐件106(例如,靜電卡盤(ESC))。在操作期間,基板108係配置於基板支撐件106上。 雖然顯示了特定的基板處理系統100及腔室102做為範例,然而本揭露內容之原理可應用於其他類型的基板處理系統及腔室,例如原位產生電漿的基板處理系統、實行遠距電漿產生及輸送(例如,藉由使用電漿管、微波管)的基板處理系統等。
僅以舉例而言,上電極104可包含一氣體分配裝置,例如導入並分配處理氣體的噴淋頭109。噴淋頭109可包含柄部部分,其包含連接至處理腔室之頂部表面的一端。基部部分大體上為圓柱形,且在與處理腔室之頂部表面間隔開的位置處自柄部部分的一相反端徑向向外延伸。噴淋頭之基部部分的面向基板之表面或面板包含複數的孔,處理氣體或吹淨氣體(purge gas)係流動通過該等孔。或者,上電極104可包含導電板,且處理氣體可以另一方式導入。
基板支撐件106包含了做為下部電極的導電底板110。底板110支撐著陶瓷層112。在一些範例中,陶瓷層112可包含一加熱層(例如,陶瓷多區域加熱板)。一熱阻層114(例如,接合層)可配置在陶瓷層112與底板110之間。底板110可包含用以讓冷卻劑流動通過底板110的一或更多冷卻劑通道116。
RF產生系統120產生並輸出一RF電壓至上電極104及下電極(例如,基板支撐件106的底板110)其中一者。上電極104與底板110其中另一者可為DC接地、AC接地、或浮接。僅以舉例而言,RF產生系統120可包含產生RF電壓之RF電壓產生器122,該RF電壓係藉由匹配與分配網路124而供給至上電極104或底板110。在其他範例中,可感應地或遠程地產生電漿。雖然如吾人為舉例之目的而顯示,RF產生系統120係對應於電容耦合電漿(CCP)系統,但本揭露內容之原理亦可實行於其他合適之系統中,僅以舉例而言,例如變壓耦合電漿(TCP )系統、CCP陰極系統、遠距微波電漿產生及傳送系統等。
氣體輸送系統130包含一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。該氣體來源供應一或更多前驅物及其混合物。該氣體來源亦供應吹淨氣體。亦可使用汽化之前驅物。氣體來源132藉由閥134-1、134-2、…,及134-N(統稱為閥134)、與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136)而連接至岐管140。岐管140之輸出係供給至處理腔室102。僅以舉例而言,岐管140之輸出係供給至噴淋頭109。
溫度控制器142可連接至複數加熱元件,例如配置於陶瓷層112中的熱控制元件(TCE,thermal control elements) 144。舉例而言,加熱元件144可包含(但不限於)與多區域加熱板中之個別區域相對應的巨加熱元件、及/或設置於多區域加熱板的多個區域上的微加熱元件之陣列。溫度控制器142可用以控制複數加熱元件144以控制基板支撐件106及基板108之溫度。
溫度控制器142可與冷卻劑組件146通信以控制流動通過通道116的冷卻劑流量。例如,冷卻劑組件146可包含冷卻劑泵浦及貯存器。溫度控制器142對冷卻劑組件146進行操作以選擇性地使冷卻劑流動通過通道116以冷卻基板支撐件106。
閥150及泵浦152可用以從處理腔室102抽空反應物。系統控制器160可用以控制基板處理系統100的構件。機械臂170可用以將基板傳遞至基板支撐件106上、及將基板從基板支撐件106移除。例如,機械臂170可在基板支撐件106與裝載鎖室172之間傳送基板。雖然顯示為獨立的控制器,但溫度控制器142可設置於系統控制器160內。在一些範例中,可環繞接合層114之周邊而於陶瓷層112與底板110之間設置一保護密封件176。
基板支撐件106包含邊緣環180。根據本揭露內容之原理的邊緣環180相對於基板108為可動的(例如,可在垂直方向向上及向下移動的)。例如,可透過作動器來回應控制器160(如以下將進一步描述)而控制邊緣環180。可根據氣體注射參數而於基板處理期間調整邊緣環180。在一些範例中,邊緣環180可包含用以注射額外之側面調諧氣體的氣體注射噴嘴。
現在參照圖2A及2B,針對升高(高)邊緣環位置、及降低(低)邊緣環位置顯示了整個基板上的副產物分佈。圖2A顯示了中央注射的處理氣體(換言之,從噴淋頭的中央或靠內部分注射之氣體)的副產物分佈。副產物分佈200繪示了邊緣環處於降低位置之情況下的副產物分佈(舉例而言,以在基板/晶圓的一對應位置上方之SiCL4的摩爾分率進行測量,於距離基板中央0至160 mm之半徑測量)。相反地,副產物分佈204繪示了邊緣環處於升高位置的副產物分佈。如圖所示,對於中央注射的處理氣體而言,針對降低及升高的邊緣環位置二者,較多量的副產物係沉積在基板的邊緣區域附近(相對於基板的中央區域),但降低邊緣環導致在邊緣區域附近之相對較少的副產物分佈。
圖2B顯示了側面注射的處理氣體(換言之,從噴淋頭的靠外、側面調諧部分所注射的氣體,及/或(在一些範例中)從邊緣環噴嘴注射的氣體(如下面所詳述))之副產物分佈。副產物分佈208繪示了邊緣環處於降低位置之情況下的副產物分佈。相反地,副產物分佈212繪示了邊緣環處於升高位置之情況下的副產物分佈。如圖所示,針對側面注射的處理氣體,對降低及升高的邊緣環位置二者而言較多量的副產物係沉積在基板的中央區域附近(相對於基板的邊緣區域),但降低邊緣環導致在邊緣區域附近之相對較少的副產物分佈。
圖3顯示了在整個基板半徑上之分別與中央注射氣體及側面注射處理氣體相關連的副產物分佈304及308之範例性平均副產物分佈300。平均副產物分佈300可對應於邊緣環的一預定位置在一預定時期內(例如,在與一給定處理步驟相對應的一預定時期內)的平均副產物分佈。副產物分佈304及308亦可與對應於中央注射氣體及側面注射氣體的各別之預定氣體流率、氣體物種等相關連。
在上邊界316與下邊界320之間的區域312可因此與調整邊緣環之位置(換言之,升高及降低)可達成的副產物分佈的一可調範圍相對應。例如,上邊界316可對應於可達成之副產物分佈的範例性最大量,且下邊界320可對應於可達成之副產物分佈的範例性最小量。可藉由選擇性地調整中央注射氣體流量及側面注射氣體流量而進一步調整副產物分佈。可於處理期間動態地調整邊緣環高度及氣體流量,以針對一預定時期達成期望的副產物分佈324 。
舉例而言,系統控制器160可儲存將基板的每一區域之平均副產物分佈與各種參數相關連的數據(例如,模型),該等參數包含(但不限於)邊緣環位置、側面注射氣體流量、中央注射氣體流量、氣體物種、邊緣環形狀等。該數據可包含指示了複數不同邊緣環位置的副產物分佈304及308、處理氣體注射流率、氣體物種等的數據。僅以舉例而言,可基於先前基板之估算、模型、後處理分析等而判定該數據(其中包含平均副產物分佈)。因此,控制器160係用以針對於處理期間不可調整的一給定參數組(例如,邊緣環形狀、期望的副產物分佈等)而計算於處理期間可調整之相關參數(例如,邊緣環高度、及中央注射氣體流量與側面注射氣體流量之各別的量)以達成期望的副產物分佈。在一些範例中, 控制器160可於處理期間動態地計算副產物分佈並對應地做出調整。舉例而言,如圖2A中所示,針對給定的邊緣環高度,中央注射氣體在基板邊緣導致較多的副產物分佈,而側面注射氣體則在基板邊緣導致較少的副產物分佈。
因此,處理可在各別之中央注射氣體流率及側面注射氣體流率下以邊緣環處於第一位置開始,從而導致在基板的邊緣區域中之相對較多的副產物分佈、及在基板的中央區域之相對較少的副產物分佈。系統控制器160可接著使邊緣環降低(或升高)至第二位置,同時亦對中央注射及側面注射氣體之各別的流率進行調整。例如,可在使中央注射氣體的流率降低(或完全關閉)及使側面注射氣體的流率提高的同時將邊緣環降低,從而導致在基板的邊緣區域中之相對較多的副產物分佈、及在基板的中央區域中之相對較多的副產物分佈。調整對應的流率可包含將中央注射氣體流量或側面注射氣體流量完全關閉、在關閉之中央注射氣體流量或側面注射氣體流量的情況下開始處理並隨後將中央注射氣體流量或側面注射氣體流量打開等。
在一些範例中,控制器160可用以對特定處理執行一系列的預定調整。舉例而言,在第一預定時期中,控制器160可在選擇各別的第一中央注射氣體流率及第一側面注射氣體流率的同時將邊緣環調整至第一高度。在第二預定時期中,控制器160可在選擇各別的第二中央注射氣體流率及第二側面注射氣體流率的同時將邊緣環調整至第二高度。以此方式,可將處理或處理步驟分割成二或更多預定時期,該二或更多預定時期具有各別的邊緣環位置及氣體流量。
現在參照圖4A、圖4B、及圖4C,顯示了根據本揭露內容之原理的基板支撐件400,該基板支撐件400其上佈置有基板404。基板支撐件400可包含一基座或底座,其具有內部部分(例如,對應於ESC) 408及外部部分412。在範例中,外部部分412可獨立於內部部分408,且相對於內部部分408為可動的。基板404係佈置於內部部分408上用於處理。控制器416與一或更多作動器420通訊而使邊緣環424選擇性地升高及降低以調整支撐件400的袋部深度。僅舉例而言,邊緣環424於圖4A中係顯示為處於完全降低位置,且於圖4B中係顯示為處於範例性完全升高位置。如圖所示,作動器420係對應於用以在垂直方向上使銷428選擇性地延伸及縮回的銷作動器。在其他範例中可使用其它合適類型的作動器。僅舉例而言,邊緣環424係對應於陶瓷或石英邊緣環。在圖4A中,控制器416與致動器420通信以經由銷428而直接使邊緣環424升高及降低。在一些範例中,內部部分408相對於邊緣環424為可動的。
現在參照圖5A及5B中, 顯示了其上佈置有基板504的範例性基板支撐件500。基板支撐件500包含具有內部部分508及外部部分512的底座或基座。外部部分包含邊緣環516,該邊緣環516為如上面參照圖1-4所述般可選擇性地移動的(換言之,升高及降低)。然而,為了簡單起見,於圖5中省略了與控制邊緣環516之移動有關的基板支撐件500的部分。
基板支撐件500係設置於氣體分配裝置(例如,噴淋頭520)下方。噴淋頭520包含中央部分524,且可以可選性地包含外部部分528。中央部分524包含中央氣體噴嘴532,該中央氣體噴嘴532係用以將處理氣體在基板504的正上方向下引導。外部部分520可包含側面調諧氣體噴嘴536,該側面調諧氣體噴嘴536係用以將處理氣體引導向基板504的外邊緣。
在一些範例中, 邊緣環516包含邊緣環噴嘴540。可設置邊緣環噴嘴540來取代在噴淋頭520的外部部分528中之側面調諧氣體噴嘴536,或在噴淋頭520的外部部分528中之側面調諧氣體噴嘴536之外額外設置邊緣環噴嘴540。邊緣環噴嘴540係用以提供額外的側面調諧氣體以進一步控制副產物分佈(如上面於圖1-3中所述)。舉例而言,邊緣環516可界定一充氣部544,該充氣部544係用以經由一或更多導管548而接收來自氣體來源552的氣體。例如,氣體來源552根據如上面所述之控制器(例如,圖1的系統控制器160)所產生的控制信號而提供處理氣體。
邊緣環噴嘴540之特徵可針對不同的處理、處理腔室等而不同。可修改之邊緣環噴嘴540的範例性特徵包含(但不限於)數量、尺寸、形狀、及注射角度。因此,在調整邊緣環位置、氣體流量等之外,亦可藉由選擇具有期望特性之邊緣環噴嘴540的邊緣環而進一步控制副產物分佈。在一些範例中,可改變邊緣環516的形狀以進一步控制副產物分佈。例如,雖然顯示具有矩形的內徑556,但在其他範例中,內徑556可為斜角的、彎曲的等。
現在參照圖6,用以控制整個基板上之副產物分佈的範例性方法600於604開始。在608,方法600(例如,系統控制器160)基於所選定的處理、處理步驟等而設定處理腔室的可調整參數。舉例而言,方法600可根據所儲存的數據而對可調整參數進行設定,該數據將一選定處理的特徵(例如,處理類型、氣體物種、邊緣環特徵等)與各樣的可調整參數相關聯。該等參數包含(但不限於)邊緣環位置、和中央注射處理氣體及側面注射處理氣體(例如,從噴淋頭的側面調諧部分、及/或從邊緣環的邊緣環噴嘴所注射)之各別的氣體流率。
在612,方法600開始處理或處理步驟。在616,方法600判定處理步驟是否完成。若成立,則方法600於620結束。若不成立,則方法600繼續至624。在624,方法600判定是否應調整與副產物分佈之控制有關的參數(如上面參照圖1-5所述)。舉例而言,方法600可基於處理期間之副產物分佈的計算或估算等而於一預定時期之後調整邊緣環位置、及/或處理氣體流率。若成立,則方法600繼續至628。若不成立,則方法600繼續至616。
在628,方法600(例如,系統控制器160)調整邊緣環位置、及/或氣體流率。在一些範例中,方法600將邊緣環位置、及氣體流率調整至預定值(例如,基於從處理/處理步驟開始以來過去的時間)。在其他範例中,方法600可計算或估算(例如,基於目前及先前的邊緣環位置、氣體流率等)基板的不同區域中之副產物分佈,並相應地調整邊緣環位置及/或氣體流量。方法600接著繼續至616。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其接合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學汽相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
100‧‧‧基板處理系統
102‧‧‧處理腔室
104‧‧‧上電極
106‧‧‧基板支撐件(靜電卡盤)
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧底板
112‧‧‧陶瓷層
114‧‧‧接合層(熱阻層)
116‧‧‧冷卻劑通道
120‧‧‧RF產生系統
122‧‧‧RF電壓產生器
124‧‧‧匹配與分配網路
130‧‧‧氣體輸送系統
132-1~132-N‧‧‧氣體來源
134-1~134-N‧‧‧閥
136-1~136-N‧‧‧質量流量控制器
140‧‧‧岐管
142‧‧‧溫度控制器
144‧‧‧熱控制元件
146‧‧‧冷卻劑組件
150‧‧‧閥
152‧‧‧泵浦
160‧‧‧系統控制器
170‧‧‧機械臂
172‧‧‧裝載鎖室
176‧‧‧保護性密封件
180‧‧‧邊緣環
200‧‧‧副產物分佈
204‧‧‧副產物分佈
208‧‧‧副產物分佈
212‧‧‧副產物分佈
300‧‧‧平均副產物分佈
304‧‧‧副產物分佈
308‧‧‧副產物分佈
312‧‧‧區域
316‧‧‧上邊界
320‧‧‧下邊界
324‧‧‧期望的副產物分佈
400‧‧‧基板支撐件
404‧‧‧基板
408‧‧‧內部部分
412‧‧‧外部部分
416‧‧‧控制器
420‧‧‧作動器
424‧‧‧邊緣環
428‧‧‧銷
500‧‧‧基板支撐件
504‧‧‧基板
508‧‧‧內部部分
512‧‧‧外部部分
516‧‧‧邊緣環
520‧‧‧噴淋頭
524‧‧‧中央部分
528‧‧‧外部部分
532‧‧‧中央氣體噴嘴
536‧‧‧側面調諧氣體噴嘴
540‧‧‧邊緣環噴嘴
544‧‧‧充氣部
548‧‧‧導管
552‧‧‧氣體來源
556‧‧‧內徑
600‧‧‧方法
604、608、612、616、620、624、628‧‧‧步驟
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容,圖1為範例性處理腔室的功能方塊圖;
根據本揭露內容,圖2A針對中央注射處理氣體顯示了在整個基板上之範例性副產物分佈;
根據本揭露內容,圖2B針對側面注射處理氣體顯示了在整個基板上之範例性副產物分佈;
根據本揭露內容,圖3顯示了中央注射處理氣體及側面注射處理氣體的副產物分佈之範例性控制範圍及平均輪廓;
根據本揭露內容,圖4A顯示了處於降低位置的範例性可變深度邊緣環;
根據本揭露內容,圖4B顯示了處於升高位置的範例性可變深度邊緣環;
根據本揭露內容,圖5A及5B顯示了包含邊緣環氣體注射噴嘴的範例性邊緣環;及
根據本揭露內容,圖6顯示了控制整個基板上之副產物分佈的範例性方法之步驟。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。

Claims (16)

  1. 一種基板支撐件,位於一基板處理系統中,該基板支撐件包含: 一內部部分,設置於一氣體分配裝置下方,該氣體分配裝置係用以將第一處理氣體引導向該內部部分; 一外部部分,包含一邊緣環,其中該邊緣環係環繞該內部部分的一外周邊而設置以至少部分地圍繞該內部部分、及佈置於該內部部分上的一基板,其中該邊緣環係用以相對於該內部部分而升高及降低,且其中該邊緣環係用以將第二處理氣體引導向該內部部分;及 一控制器,其判定於處理期間沉積在該基板上的材料之分佈,並基於判定的該分佈而(i) 選擇性地調整該邊緣環的一位置、及(ii) 選擇性地調整該第一處理氣體及該第二處理氣體其中至少一者的流量。
  2. 如申請專利範圍第1項的基板支撐件,其中該材料包含蝕刻副產物。
  3. 如申請專利範圍第1項的基板支撐件,其中該控制器基於數據而判定該材料之分佈,該數據將沉積於該基板上的該材料之分佈與邊緣環位置、該氣體分配裝置所引導的該第一處理氣體、及該邊緣環所引導的該第二處理氣體其中至少一者相關聯。
  4. 如申請專利範圍第3項之基板支撐件,其中該數據包含(i) 該第一處理氣體所導致之沉積於該基板上的材料、與(ii) 該第二處理氣體所導致之沉積於該基板上的材料的一平均值。
  5. 如申請專利範圍第3項之基板支撐件,其中該控制器基於該數據而(i) 針對一第一預定時期將該邊緣環的該位置調整至一第一位置、將該第一處理氣體之流量調整至一第一流率、及將該第二處理氣體之流量調整至一第二流率,以及(ii) 在該第一預定時期之後,針對一第二預定時期將該邊緣環的該位置調整至一第二位置、將該第一處理氣體之流量調整至一第三流率、及將該第二處理氣體之流量調整至一第四流率。
  6. 如申請專利範圍第5項之基板支撐件,其中將流量調整至該第一流率、該第二流率、該第三流率、及該第四流率其中至少一者的操作包含:將該第一處理氣體及該第二處理氣體其中對應一者關閉。
  7. 如申請專利範圍第1項的基板支撐件,其中該邊緣環包含複數氣體注射噴嘴。
  8. 如申請專利範圍第7項之基板支撐件,其中該複數氣體注射噴嘴係經由該邊緣環所界定的一充氣部、及連接至該第二處理氣體的一來源的至少一導管而與該來源流體連通。
  9. 一種於基板處理系統中處理基板的方法,該方法包含: 提供一基板支撐件,該基板支撐件具有一內部部分及一外部部分,其中該內部部分係設置於一氣體分配裝置下方,且其中該外部部分包含一邊緣環,該邊緣環係環繞該內部部分的一外周邊而設置以至少部分地圍繞該內部部分、及佈置於該內部部分上的一基板; 藉由使用該氣體分配裝置而將第一處理氣體引導向該內部部分; 藉由使用該邊緣環而將第二處理氣體引導向該內部部分; 判定於處理期間沉積在該基板上的材料之分佈; 相對於該內部部分而選擇性地將該邊緣環的一位置向上或向下調整;及 選擇性地調整該第一處理氣體及該第二處理氣體其中至少一者的流量。
  10. 如申請專利範圍第9項之於基板處理系統中處理基板的方法,其中該材料包含蝕刻副產物。
  11. 如申請專利範圍第9項之於基板處理系統中處理基板的方法,其中該判定該材料之分佈的步驟包含基於數據而判定該材料之分佈,該數據將沉積於該基板上的該材料之分佈與邊緣環位置、該氣體分配裝置所引導的該第一處理氣體、及該邊緣環所引導的該第二處理氣體其中至少一者相關聯。
  12. 如申請專利範圍第11項之於基板處理系統中處理基板的方法,其中該數據包含(i) 該第一處理氣體所導致之沉積於該基板上的材料、與(ii) 該第二處理氣體所導致之沉積於該基板上的材料的一平均值。
  13. 如申請專利範圍第11項之於基板處理系統中處理基板的方法,更包含:基於該數據而(i) 針對一第一預定時期將該邊緣環的該位置調整至一第一位置、將該第一處理氣體之流量調整至一第一流率、及將該第二處理氣體之流量調整至一第二流率,以及(ii) 在該第一預定時期之後,針對一第二預定時期將該邊緣環的該位置調整至一第二位置、將該第一處理氣體之流量調整至一第三流率、及將該第二處理氣體之流量調整至一第四流率。
  14. 如申請專利範圍第13項之於基板處理系統中處理基板的方法,其中將流量調整至該第一流率、該第二流率、該第三流率、及該第四流率其中至少一者的操作包含:將該第一處理氣體及該第二處理氣體其中對應一者關閉。
  15. 如申請專利範圍第9項之於基板處理系統中處理基板的方法,其中該邊緣環包含複數氣體注射噴嘴。
  16. 如申請專利範圍第15項之於基板處理系統中處理基板的方法,其中該複數氣體注射噴嘴係經由該邊緣環所界定的一充氣部、及連接至該第二處理氣體的一來源的至少一導管而與該來源流體連通。
TW106127406A 2016-08-19 2017-08-14 以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制 TW201817899A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/241,393 US10410832B2 (en) 2016-08-19 2016-08-19 Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US15/241,393 2016-08-19

Publications (1)

Publication Number Publication Date
TW201817899A true TW201817899A (zh) 2018-05-16

Family

ID=61192073

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106127406A TW201817899A (zh) 2016-08-19 2017-08-14 以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制

Country Status (5)

Country Link
US (2) US10410832B2 (zh)
JP (2) JP7060344B2 (zh)
KR (2) KR102383779B1 (zh)
CN (1) CN107768275B (zh)
TW (1) TW201817899A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757848B (zh) * 2018-08-13 2022-03-11 美商蘭姆研究公司 結合邊緣環定位及置中特徵部的電漿鞘調諧用可更換及/或可折疊邊緣環組件及使用該組件的系統
TWI811578B (zh) * 2019-11-04 2023-08-11 大陸商北京北方華創微電子裝備有限公司 等離子體系統
US11769648B2 (en) 2021-10-28 2023-09-26 Applied Materials, Inc. Ion source gas injection beam shaping

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
CN110246737B (zh) * 2018-03-08 2021-07-06 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
KR20220160687A (ko) * 2020-04-02 2022-12-06 램 리써치 코포레이션 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링
CN115702486A (zh) * 2020-11-23 2023-02-14 朗姆研究公司 经由吹扫环防止局部化的等离子电弧
CN114551204A (zh) * 2020-11-25 2022-05-27 中国科学院微电子研究所 一种用于控制晶片边缘关键尺寸的系统及方法
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) * 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
JP3247079B2 (ja) * 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP2000058512A (ja) * 1998-08-03 2000-02-25 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP2028577A2 (en) 1999-04-16 2009-02-25 Fujikin Incorporated Parallel bypass type fluid feeding device, and method and device for controlling fluid variable type pressure system flow rate used for the device
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6709547B1 (en) * 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
AU2001240104A1 (en) 2000-03-07 2001-09-17 Symyx Technologies, Inc. Parallel flow process optimization reactor
JP3316490B2 (ja) 2000-03-13 2002-08-19 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4433614B2 (ja) * 2001-01-17 2010-03-17 ソニー株式会社 エッチング装置
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
CN100403198C (zh) 2001-05-24 2008-07-16 迅捷公司 流体流量控制器和定比率控制流体流量的方法和装置
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4224492B2 (ja) 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4407384B2 (ja) * 2004-05-28 2010-02-03 株式会社Sumco Soi基板の製造方法
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
JP2006344701A (ja) * 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070078346A (ko) * 2006-01-26 2007-07-31 삼성전자주식회사 나이프 에지 링을 갖는 웨이퍼 지지장치
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP4909609B2 (ja) * 2006-03-01 2012-04-04 株式会社東芝 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7398693B2 (en) * 2006-03-30 2008-07-15 Applied Materials, Inc. Adaptive control method for rapid thermal processing of a substrate
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8592220B2 (en) 2006-10-26 2013-11-26 Intermolecular, Inc. High pressure parallel fixed bed reactor and method
US20080110569A1 (en) 2006-11-09 2008-05-15 Go Miya Plasma etching apparatus and plasma etching method
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
TWI444799B (zh) 2006-12-05 2014-07-11 Horiba Stec Co 流量控制裝置與流量測定裝置之校準方法、流量控制裝置之校準系統、及半導體製造裝置
US8019481B2 (en) 2006-12-12 2011-09-13 Horiba Stec, Co., Ltd. Flow rate ratio control device
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) * 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR101437522B1 (ko) 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US20100264117A1 (en) 2007-10-31 2010-10-21 Tohoku University Plasma processing system and plasma processing method
JP5050830B2 (ja) 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR101028213B1 (ko) 2007-12-27 2011-04-11 가부시키가이샤 호리바 에스텍 유량 비율 제어 장치
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
US7823436B2 (en) 2008-01-18 2010-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
CN101978479A (zh) 2008-03-21 2011-02-16 应用材料公司 基材蚀刻系统与制程的方法及设备
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
AU2009281170B2 (en) 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
KR20120098751A (ko) 2009-10-26 2012-09-05 솔베이 플루오르 게엠베하 Tft 매트릭스 제조를 위한 식각 공정
WO2011068959A1 (en) 2009-12-02 2011-06-09 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
EP2518581B1 (en) 2009-12-25 2019-03-06 Horiba Stec, Co., Ltd. Mass flow controller system
KR101430093B1 (ko) 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
CN103025413B (zh) 2010-08-02 2015-10-14 巴塞尔聚烯烃股份有限公司 混合和分离流体流的方法和设备
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP2012049376A (ja) * 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
GB2486941C (en) 2010-12-08 2014-07-30 Oc Oerlikon Balters Ag Apparatus and method for depositing a layer onto asubstrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013123617A1 (en) 2012-02-22 2013-08-29 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US20140027060A1 (en) * 2012-07-27 2014-01-30 Applied Matericals, Inc Gas distribution apparatus for substrate processing systems
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20140132542A (ko) 2013-05-08 2014-11-18 주식회사 미코 세라믹 히터 및 이의 제조 방법
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
JP5950892B2 (ja) * 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
SG11201703129YA (en) 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757848B (zh) * 2018-08-13 2022-03-11 美商蘭姆研究公司 結合邊緣環定位及置中特徵部的電漿鞘調諧用可更換及/或可折疊邊緣環組件及使用該組件的系統
US11798789B2 (en) 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
TWI811578B (zh) * 2019-11-04 2023-08-11 大陸商北京北方華創微電子裝備有限公司 等離子體系統
US11769648B2 (en) 2021-10-28 2023-09-26 Applied Materials, Inc. Ion source gas injection beam shaping

Also Published As

Publication number Publication date
US20190362940A1 (en) 2019-11-28
KR20180020876A (ko) 2018-02-28
KR102383779B1 (ko) 2022-04-05
JP2022095896A (ja) 2022-06-28
CN107768275A (zh) 2018-03-06
KR102488729B1 (ko) 2023-01-13
JP2018032854A (ja) 2018-03-01
US10410832B2 (en) 2019-09-10
US20180053629A1 (en) 2018-02-22
JP7060344B2 (ja) 2022-04-26
CN107768275B (zh) 2023-07-25
KR20220044474A (ko) 2022-04-08
JP7454600B2 (ja) 2024-03-22
US11424103B2 (en) 2022-08-23

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI745347B (zh) 用於蝕刻均勻性控制之可變深度邊緣環
KR102275987B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
TWI761337B (zh) 基板處理系統
TWI796249B (zh) 可運動的邊緣環設計
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
WO2019217185A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
TW202036711A (zh) 用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
WO2022133434A1 (en) Optimizing edge radical flux in a downstream plasma chamber
TW202137822A (zh) 基板處理系統之高精度邊緣環定心