TWI745347B - 用於蝕刻均勻性控制之可變深度邊緣環 - Google Patents

用於蝕刻均勻性控制之可變深度邊緣環 Download PDF

Info

Publication number
TWI745347B
TWI745347B TW106104190A TW106104190A TWI745347B TW I745347 B TWI745347 B TW I745347B TW 106104190 A TW106104190 A TW 106104190A TW 106104190 A TW106104190 A TW 106104190A TW I745347 B TWI745347 B TW I745347B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
edge ring
pocket depth
patent application
Prior art date
Application number
TW106104190A
Other languages
English (en)
Other versions
TW201737405A (zh
Inventor
伊弗霖 安格洛夫
克里斯蒂安 席拉岱
汀 拉森
布萊恩 塞文森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201737405A publication Critical patent/TW201737405A/zh
Application granted granted Critical
Publication of TWI745347B publication Critical patent/TWI745347B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一基板支撐件包含用以支撐一基板的一內部部分、圍繞該內部部分的一邊緣環、及計算該基板支撐件的一期望的袋部深度的一控制器。袋部深度係對應於在該邊緣環之上表面與該基板之上表面之間的一距離。基於該期望的袋部深度,該控制器選擇性地控制一作動器以使該邊緣環及該內部部分其中至少一者升高及降低以調整在該邊緣環之上表面與該基板之上表面之間的該距離。

Description

用於蝕刻均勻性控制之可變深度邊緣環
本揭露內容係關於基板處理,且更具體而言係關於用以控制基板處理中之蝕刻均勻性的系統及方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
一基板處理系統可用以蝕刻基板(例如,半導體晶圓)上的膜。該基板處理系統一般包含處理腔室、氣體分配裝置、及基板支撐件。在處理期間,基板係佈置在基板支撐件上。可將不同的氣體混合物導入處理腔室中,並可使用射頻(RF)電漿來活化化學反應。
基板支撐件可包含一邊緣環,該邊緣環係圍繞基板支撐件的外部部分而佈置(例如,在周緣之外及/或與周緣相鄰)。可設置邊緣環以將電漿限制於基板上方之體積,保護基板支撐件免於電漿等引起的侵蝕。
一基板支撐件包含用以支撐一基板的一內部部分、圍繞該內部部分的一邊緣環、及計算該基板支撐件的一期望的袋部深度(pocket depth)的一控制器。袋部深度係對應於在該邊緣環之上表面與該基板之上表面之間的一距離。基於該期望的袋部深度,該控制器選擇性地控制一作動器以使該邊緣環及該內部部分其中至少一者升高及降低以調整在該邊緣環之上表面與該基板之上表面之間的該距離。
一操作基板支撐件的方法包含將一基板佈置於該基板支撐件的一內部部分上、及計算該基板支撐件的一期望的袋部深度。袋部深度係對應於圍繞該內部部分的一邊緣環之上表面與該基板之上表面之間的一距離。該方法更包含基於該期望的袋部深度,選擇性地控制一作動器而使該邊緣環及該內部部分其中至少一者升高及降低以調節該邊緣環之上表面與該基板之上表面之間的該距離。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
基板處理系統中的基板支撐件可包含邊緣環。邊緣環的上表面可在基板支撐件的上表面上方延伸。因此,基板支撐件的上表面(以及,在一些範例中,佈置在基板支撐件上之基板的上表面)可相對於邊緣環而凹陷。此凹陷可稱為袋部(pocket)。在邊緣環的上表面與基板的上表面之間的距離可稱為「袋部深度」。一般而言,袋部深度係根據邊緣環相對於基板之上表面的高度而固定的。若需要不同的袋部深度,則必須手動更換邊緣環,但這可能會受限於晶圓搬運限制、處理限制、腔室限制等。
蝕刻處理的一些面向可因基板處理系統、基板、氣體混合物等的特性而改變。例如,蝕刻率及蝕刻均勻性可根據邊緣環的袋部深度而改變。在圖1A及1B中,曲線分別顯示了在使用包含CF4之配方的範例性多晶蝕刻處理(poly etch process)、及使用不包含CF4之配方的範例性多晶蝕刻處理中的蝕刻率10及蝕刻率14。在圖1A及1B中,y軸指示了以埃/每分鐘(A/min)為單位的蝕刻率(ER),而x軸指示了距離基板中央的徑向距離(以mm為單位)。蝕刻率10及14每一者包含複數蝕刻率,該等蝕刻率係對應於基板的上表面與氣體分配裝置(例如,噴淋頭)的底部表面之間的距離。例如,蝕刻率10包含分別與0.62英寸、0.82英寸、1.02英寸、1.22英寸、1.44英寸、及1.64英寸之距離對應的蝕刻率10-1、10-2、10-3、10-4、10-5、及10-6。蝕刻率14包含分別與0.62英寸、0.82英寸、1.02英寸、1.22英寸、1.44英寸、及1.64之距離對應的蝕刻率 14-1、14-2、14-3、14-4、14-5、及14-6。
如圖所示,整體蝕刻率10及14大體上隨著基板的上表面與氣體分配裝置的底部表面之間的距離增加而降低(例如,從在蝕刻率10及14最底部的0.62英寸至在蝕刻率10及14最頂部的1.64英寸)。此外,蝕刻率10及14係從基板的中央往基板的外周緣改變(例如,大體上為減小),且大體上於距離中央約140 mm(約5.51英寸)處接近最小值及反曲點 。蝕刻率10及14在距離基板中央超過140 mm的距離急劇增加。此反曲點可隨著複數處理參數而改變,該等處理參數包含(但不限於)在整個基板的上表面上的最大氣體速度。
改變邊緣環的高度可修改在整個基板表面上的氣體速度分佈(gas velocity profile)。圖2A、2B、及2C顯示了在不同邊緣環高度及對應之袋部深度下的範例性氣體速度分佈20、24、及28。在圖2A中,邊緣環的上表面具有在基板之上表面上方約0.042英寸的高度。在圖2B中,邊緣環的上表面具有與基板之上表面約相等(換言之,在其上方0.0英寸)的高度。在圖2C中,邊緣環的上表面具有在基板之上表面下方約0.03英寸的高度。因此,當袋部深度改變時,蝕刻率均勻性(包含尖峰的最大及最小蝕刻率位置 、反曲點的位置等)亦改變。
根據本揭露內容之原理的可變深度邊緣環系統及方法基於期望的處理參數而使邊緣環升高及降低以控製袋部深度。例如,邊緣環可連接至一作動器,該作動器係用以回應控制器、使用者界面等而使邊緣環升高及降低。在一範例中,基板處理系統的控制器根據執行的特定配方而在處理期間、於處理步驟之間等控制邊緣環的高度。僅舉例而言,控制器可儲存將袋部深度、邊緣環高度等索引至一或更多參數的數據(例如,查閱表),該一或更多參數包含(但不限於)所選擇的配方或處理、所選擇的處理步驟、期望的氣體速度、期望的反曲點等。該數據可對應於預定(例如,經校準或程式化的)數據、使用者經由介面提供的數據等。以此方式,可在無需為不同配方、步驟、處理參數等更換或手動調整邊緣環的情況下達成一範圍的袋部深度。
現在參照圖3,根據本揭露內容,顯示了用以蝕刻基板之層(僅舉例而言,鎢或W層)的基板處理腔室100之範例。雖然顯示並描述特定的基板處理腔室,但本文中所描述的方法可在其他類型的基板處理系統上實行。
基板處理腔室100包含下腔室區域102及上腔室區域104。下腔室區域102係由腔室側壁表面108、腔室底部表面110、及氣體分配裝置114的下表面所界定。
上腔室區域104係由氣體分配裝置114的上表面、及圓頂118的內表面所界定。在一些範例中,圓頂118係置於第一環形支撐件121上。在一些範例中,第一環形支撐件121包含用以輸送處理氣體至上腔室區域104的一或更多間隔的孔123(如以下將進一步描述)。在一些範例中,處理氣體係藉由該一或更多間隔的孔123而在向上方向上以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。在一些範例中,在第一環形支撐件121中的氣體流動通道134將氣體供應至一或更多間隔的孔123。
第一環形支撐件121可置於第二環形支撐件125上,該第二環形支撐件125界定了用以從氣體流動通道129輸送處理氣體至下腔室區域102的一或更多間隔的孔127。在一些範例中,氣體分配裝置114中的孔131係與孔127對準。在其它範例中,氣體分配裝置114具有較小的直徑且不需要孔131。在一些範例中,處理氣體係藉由一或更多間隔的孔127而在向下方向上朝基板以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。
在其他範例中,上腔室區域104為具有平坦的頂部表面之圓柱形,且可使用一或更多平坦的感應線圈。在更其他範例中,可使用在噴淋頭與基板支撐件之間具有一間隔物的單一腔室。
基板支撐件122係佈置在下腔室區域102中。在一些範例中,基板支撐件122包含靜電卡盤(ESC),但是亦可使用其它類型的基板支撐件。基板126於蝕刻期間係設置在基板支撐件122的上表面上。在一些範例中,基板126的溫度可藉由加熱板130、具有流體通道之可選性的冷卻板、及一或更多感測器(未顯示)而控制 ,但是亦可使用任何其他合適的基板支撐件溫度控制系統。
在一些範例中,氣體分配裝置114包含一噴淋頭(例如,具有複數間隔的孔133的板128)。該複數間隔的孔133從板128的上表面延伸至板128的下表面。在一些實施例中,間隔的孔133具有在從0.4英吋至0.75英吋之範圍內的直徑,且噴淋頭係由導電材料(例如,鋁)或具有由導電材料製成之嵌入式電極的非導電材料(例如,陶瓷)所製成。
一或更多感應線圈140係圍繞圓頂118的外部部分而設置。當通電時,一或更多感應線圈140於圓頂118內產生電磁場。在一些範例中,使用上線圈及下線圈。氣體注入器142注入來自氣體輸送系統150-1的一或更多氣體混合物。
在一些實施例中,氣體輸送系統150-1包含一或更多氣體來源152、一或更多閥154、一或更多質量流量控制器(MFC) 156、及一混合歧管158,但是亦可使用其他類型的氣體輸送系統。可使用一氣體分流器(未顯示)以改變氣體混合物的流率。可使用另一氣體輸送系統150-2以供應蝕刻氣體或蝕刻氣體混合物至氣體流動通道129及/或134(在來自氣體注入器142的蝕刻氣體之外額外供應,或取代來自氣體注入器142的蝕刻氣體)。
合適的氣體輸送系統係顯示並描述於共同受讓的美國專利申請案第14/945,680號(發明名稱為「Gas Delivery System」,申請日為2015年12月4日)中,其內容係藉由參照完整納入本文中。合適的單一或雙氣體注入器及其它氣體注入位置係顯示並描述於共同受讓的美國臨時專利申請案第62/275,837號(發明名稱為「Substrate Processing System with Multiple Injection Points and Dual Injector」,申請日為2016年1月7日)中,其內容係藉由參照完整納入本文中。
在一些範例中,氣體注入器142包含以向下方向引導氣體的一中央注入位置、及以相對於向下方向的一角度注入氣體的一或更多側邊注入位置。在一些範例中,氣體輸送系統150-1以第一流率將氣體混合物的第一部分輸送至氣體注入器142的中央注入位置、並以第二流率將氣體混合物的第二部分輸送至氣體注入器142的側邊注射位置。在其它範例中,藉由氣體注入器142輸送不同的氣體混合物。在一些實施例中,氣體輸送系統150-1輸送調諧氣體至氣體流動通道129與134、及/或至將於以下描述之處理腔室中的其它位置。
電漿產生器170可用以產生輸出至一或更多感應線圈140的RF功率。電漿190係於上腔室區域104中產生。在一些實施例中,電漿產生器170包含RF產生器172、及匹配網路174。匹配網路174將RF產生器172的阻抗與一或更多感應線圈140的阻抗相匹配。在一些範例中,氣體分配裝置114係連接至一參考電位(例如接地)。閥178及泵浦180可用以控制下腔室區域102及上腔室區域104內的壓力及將反應物抽空。
控制器176與氣體輸送系統150-1與150-2、閥178、泵浦180、及/或電漿產生器170通訊以控制吹掃氣體(purge gas)、處理氣體之流量、RF電漿及腔室壓力。在一些範例中,電漿係藉由一或更多感應線圈140而維持在圓頂118內。一或更多氣體混合物係藉由使用氣體注入器142 (及/或孔123)而從腔室的頂部部分導入,且電漿係藉由使用氣體分配裝置114而限制在圓頂118中。
將電漿限制在圓頂118中使得電漿物種得以進行體積復合(volume recombination),並使得想要的蝕刻劑物種得以透過氣體分配裝置114而流出。在一些範例中,沒有施加RF偏壓至基板126。因此,基板126上不存在有效的鞘層且離子不以任何有限的能量撞擊基板。一些量的離子會透過氣體分配裝置114而擴散離開電漿區域。然而,擴散的電漿量較位於圓頂118內的電漿低了一個數量級。電漿中大部分的離子由於高壓下的體積複合而損失。在氣體分配裝置114之上表面上的表面復合損失(surface recombination loss)亦降低了氣體分配裝置114下方的離子密度。
在其它範例中,設置一RF偏壓產生器184,該RF偏壓產生器184包含RF產生器186及匹配網路188。RF偏壓可用以在氣體分配裝置114與基板支撐件之間產生電漿,或在基板126上產生自偏壓以吸引離子。控制器176可用以控制RF偏壓。
基板支撐件122包含邊緣環192。根據本揭露內容之原理的邊緣環192相對於基板126為可動的(例如,可在垂直方向向上及向下移動的) 。例如,可透過作動器來回應控制器176(如以下將進一步描述)而控制邊緣環192。
現在參照圖4A、4B、4C、5A、5B、及5C,根據本揭露內容之原理,顯示範例性基板支撐件200,該等範例性基板支撐件200具有佈置在其上之各別的基板204。基板支撐件200每一者可包含具有內部部分(例如,對應於ESC)208及外部部分212的底座或基座。在範例中,外部部分212可獨立於內部部分208,且相對於內部部分208為可動的。一基板係佈置於內部部分208上用於處理。控制器216與一或更多作動器220通訊而使邊緣環224選擇性地升高及降低以調整支撐件200的袋部深度。僅舉例而言,邊緣環224其中每一者於圖4A、4B、及4C中係顯示為處於完全降低位置,且於圖5A、5B、及5C中係顯示為處於範例性完全升高位置。如範例中所示,作動器220係對應於用以在垂直方向上使銷228選擇性地延伸及縮回的銷作動器。在其他範例中可使用其它合適類型的作動器。僅舉例而言,邊緣環224係對應於陶瓷或石英邊緣環。
在一些範例中,控制器216基於指示邊緣環224及/或內部部分208之位置(換言之,指示袋部深度)的反饋而調整袋部深度。在一範例中,控制器216可與一光學感測器230通訊,該光學感測器230係用以基於反射自基板204之表面的信號而判定邊緣環224及/或內部部分208的位置,如圖4A、4B、及4C中所示。在另一範例中,作動器220設置有位置編碼器以提供指示邊緣環224及/或內部部分208之位置的信號至控制器216。   以此方式,控制器216可對袋部深度進行調整,直到實際的袋部深度對應於期望的袋部深度。
在圖4A中,控制器 216與作動器220通訊以透過銷228而直接使邊緣環224升高及降低。在圖4B中,控制器216與作動器220通訊以使外部部分212升高及降低,該外部部分212具有安裝於其上的邊緣環224。在圖4C中,控制器216與作動器220通訊以透過銷228而直接使邊緣環224升高及降低,及/或透過銷236而直接使邊緣環224之獨立的內環部分232升高及降低。
在圖6A及6B中,顯示了另一範例性邊緣環224,該邊緣環224具有可獨立移動的內環部分232及外環部分240。在圖6A中,內環部分232及外環部分240皆係顯示為處於完全降低位置。在圖6B中,內環部分232係顯示為處於升高位置,且外環部分240係顯示為處於完全降低位置。在其它範例中,內環部分232及外環部分240皆可作動至升高位置,內環部分232可在外環部分240作動至升高位置等的同時完全降低。
在如圖7A及7B中所示的再另一範例中,邊緣環224(及/或邊緣環224係安裝於其上的外部部分212)的絕對高度相對於腔室的底部表面可為固定的。做為替代,內部部分208(例如,ESC)相對於邊緣環224為可動的。因此,控制器216可與作動器220通訊以使內部部分208相對於邊緣環224升高及降低而調整袋部深度。內部部分208在圖7A中係顯示為處於降低位置,且在圖7B中係顯示為處於完全升高位置。
圖8顯示一範例性邊緣環300,該範例性邊緣環300係處於完全升高位置以相對於基板304之上表面而界定一袋部深度d。如上面於各樣範例中所述,袋部深度d可根據一或更多參數而判定,該一或更多參數包含(但不限於)所選擇的配方或處理、配方材料或步驟材料、溫度、腔室特性、使用者定義的變數、所處理之基板的厚度、期望的氣體速度分佈、期望的蝕刻均勻性等。袋部深度d可由控制器基於在該等參數與袋部深度之間的預定及/或程式化關係(例如,藉由使用查閱表、模型等)而自動地控制、及/或可根據使用者輸入而加以控制。
現在參照圖9,範例性控制器400包含用以計算期望之袋部深度d的袋部深度計算模組404。例如,袋部深度計算模組404接收一或更多輸入408,該一或更多輸入408包含(但不限於)所選擇的配方或處理、配方材料或步驟材料、溫度、腔室特性、使用者定義的變數、所處理之基板的厚度、期望的氣體速度分佈、期望的蝕刻均勻性、及/或其他使用者輸入、感測器測量結果等。袋部深度計算模組404基於所接收的輸入408而計算期望的袋部深度d。例如,袋部深度計算模組404可根據數據而計算期望的袋部深度d,該數據指示了在對應於輸入408的參數與期望的袋部深度d之間的預定及/或程式化關係。僅舉例而言,可將數據儲存於記憶體412中、及/或從記憶體412擷取數據。數據可包含(但不限於)一或更多查閱表、欲由袋部深度計算模組404執行的模組等。
袋部深度計算模組404將計算的袋部深度d提供至作動器控制模組416。作動器控制模組416基於計算的袋部深度d而輸出一或更多控制信號以控制各別的作動器。例如,可將控制信號提供至複數作動器(例如圖4-7中所述的該等作動器220)。
現在參照圖10,根據本揭露內容,用以改變袋部深度的範例性方法500開始於504。在508,可將基板佈置於基板支撐件上以用於處理。基板支撐件可具有一初始袋部深度d。該初始袋部深度d可對應於一預設袋部深度(換言之,預定袋部深度),例如便於將基板裝載至基板支撐件上的袋部深度。
在512,方法500(例如,控制器400透過作動器220)根據第一組參數而將基板支撐件的袋部深度調整至第一袋部深度。例如,第一組參數可對應於欲於基板上執行之所選擇配方或處理的第一處理步驟。在512,方法516啟動基板的處理。在520,方法500(例如,控制器400)接收與袋部深度相關聯的一或更多輸入,其中包含可能於處理期間改變的輸入,例如不同處理步驟的啟動、溫度、壓力、氣體速度、腔室化學品、感測器測量結果、及/或其他腔室特性。在524,方法500(例如,控制器400)基於所接收到的輸入而判定是否調整袋部深度。若成立,則方法500繼續至528以調整袋部深度(例如,藉由使用控制器400)。若不成立,則方法500繼續至532。
在532,方法500(例如,控制器400)判定基板的處理是否完成。若成立,則方法500於536結束。若不成立,則方法500繼續至520。因此,方法500可繼續於基板的處理期間回應所接收之指示了處理參數的輸入而調整基板支撐件的袋部深度。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
10‧‧‧蝕刻率10-1‧‧‧蝕刻率10-2‧‧‧蝕刻率10-3‧‧‧蝕刻率10-4‧‧‧蝕刻率10-5‧‧‧蝕刻率10-6‧‧‧蝕刻率14‧‧‧蝕刻率14-1‧‧‧蝕刻率14-2‧‧‧蝕刻率14-3‧‧‧蝕刻率14-4‧‧‧蝕刻率14-5‧‧‧蝕刻率14-6‧‧‧蝕刻率20‧‧‧氣體速度分佈24‧‧‧氣體速度分佈28‧‧‧氣體速度分佈100‧‧‧基板處理腔室102‧‧‧下腔室區域104‧‧‧上腔室區域108‧‧‧腔室側壁表面110‧‧‧腔室底部表面114‧‧‧氣體分配裝置118‧‧‧圓頂121‧‧‧第一環形支撐件122‧‧‧基板支撐件123‧‧‧孔125‧‧‧第二環形支撐件126‧‧‧基板127‧‧‧孔128‧‧‧板129‧‧‧氣體流動通道130‧‧‧加熱板131‧‧‧孔134‧‧‧氣體流動通道140‧‧‧感應線圈142‧‧‧氣體注入器150-1‧‧‧氣體輸送系統150-2‧‧‧氣體輸送系統152‧‧‧氣體來源154‧‧‧閥156‧‧‧質量流量控制器 (MFC)158‧‧‧混合歧管170‧‧‧電漿產生器172‧‧‧RF產生器174‧‧‧匹配網路176‧‧‧控制器178‧‧‧閥180‧‧‧泵浦184‧‧‧RF偏壓產生器186‧‧‧RF產生器188‧‧‧匹配網路190‧‧‧電漿192‧‧‧邊緣環200‧‧‧基板支撐件204‧‧‧基板208‧‧‧內部部分212‧‧‧外部部分216‧‧‧控制器220‧‧‧作動器224‧‧‧邊緣環228‧‧‧銷230‧‧‧光學感測器232‧‧‧內環部分236‧‧‧銷240‧‧‧外環部分300‧‧‧邊緣環304‧‧‧基板400‧‧‧控制器404‧‧‧袋部深度計算模組408‧‧‧輸入412‧‧‧記憶體416‧‧‧作動器控制模組500‧‧‧方法504‧‧‧操作508‧‧‧操作512‧‧‧操作516‧‧‧操作520‧‧‧操作524‧‧‧操作528‧‧‧操作532‧‧‧操作536‧‧‧操作
從詳細描述及附圖將更全面地理解本揭露內容,其中:
根據本揭露內容,圖1A及1B顯示了範例性蝕刻率;
根據本揭露內容,圖2A、2B、及2C顯示了範例性氣體速度分佈(gas velocity profiles);
根據本揭露內容,圖3為範例性處理腔室的功能方塊圖;
根據本揭露內容,圖4A、4B、及4C顯示了處於降低位置的範例性可變深度邊緣環;
根據本揭露內容,圖5A、5B、及5C顯示了處於升高位置的範例性可變深度邊緣環;
根據本揭露內容,圖6A及6B顯示了另一範例性可變深度邊緣環;
根據本揭露內容,圖7A顯示了處於降低位置的範例性基板支撐件;
根據本揭露內容,圖7B顯示了處於升高位置的範例性基板支撐件;
根據本揭露內容,圖8顯示了邊緣環所界定的範例性可調式袋部深度;
根據本揭露內容,圖9顯示了範例性控制器;及
根據本揭露內容,圖10顯示了用以改變袋部深度的範例性方法。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200‧‧‧基板支撐件
204‧‧‧基板
208‧‧‧內部部分
212‧‧‧外部部分
216‧‧‧控制器
220‧‧‧作動器
224‧‧‧邊緣環
228‧‧‧銷
230‧‧‧光學感測器

Claims (20)

  1. 一種基板支撐件,包含:一內部部分,用以支撐一基板;一邊緣環,圍繞該內部部分;及一控制器,建構以:使用指示一期望的袋部深度(pocket depth)與至少一處理參數之間的關係之數據,計算該基板支撐件的該期望的袋部深度,其中該期望的袋部深度係對應於在該邊緣環之上表面與該基板之上表面之間的一期望的距離,及基於所計算的該期望的袋部深度,選擇性地控制一作動器以使該邊緣環及該內部部分其中至少一者升高及降低以調整在該邊緣環之上表面與該基板之上表面之間的該距離。
  2. 如申請專利範圍第1項的基板支撐件,其中該邊緣環係佈置於該基板支撐件的一外部部分上,且為了使該邊緣環升高及降低,該控制器控制該作動器以將該外部部分升高及降低。
  3. 如申請專利範圍第1項的基板支撐件,其中該邊緣環包含一內環部分,該內環部分係可獨立於該邊緣環而移動的。
  4. 如申請專利範圍第1項的基板支撐件,其中該內部部分對應於一靜電卡盤。
  5. 如申請專利範圍第1項的基板支撐件,其中該作動器藉由使用一或更多作動銷而使該邊緣環及該內部部分其中至少一者升高及降低。
  6. 如申請專利範圍第1項的基板支撐件,其中該控制器基於該至少一處理參數而計算該期望袋部深度,其中該至少一處理參數包含(i)欲於基板上執行的所選擇配方或處理、(ii)處理腔室之特性、(iii)基板之厚度、(iv)期望的氣體速度分佈(gas velocity profile)、及(v)期望的蝕刻均勻性其中至少一者。
  7. 如申請專利範圍第6項的基板支撐件,其中該控制器包含一袋部深度計算模組,該袋部深度計算模組接收指示該至少一處理參數的至少一輸入,並基於該輸入而計算該期望的袋部深度。
  8. 如申請專利範圍第7項的基板支撐件,更包含一記憶體,該記憶體儲存該數據,該數據指示該至少一處理參數與該期望的袋部深度之間的該關係的。
  9. 如申請專利範圍第8項的基板支撐件,其中該袋部深度計算模組:(i)基於該輸入而從該記憶體擷取該數據,並(ii)基於所擷取的該數據而計算該期望的袋部深度。
  10. 如申請專利範圍第9項的基板支撐件,其中該袋部深度計算模組進一步基於儲存在該記憶體中的一模型及一查閱表其中至少一者而計算該期望的袋部深度。
  11. 一種操作基板支撐件的方法,該方法包含:將一基板佈置於該基板支撐件的一內部部分上;使用指示一期望的袋部深度與至少一處理參數之間的關係之數據,計算該基板支撐件的該期望的袋部深度,其中該期望的袋部深度係對應於圍繞該內部部分的一邊緣環之上表面與該基板之上表面之間的一期望的距離;及基於所計算的該期望的袋部深度,選擇性地控制一作動器而使該邊緣環及該內部部分其中至少一者升高及降低以調節該邊緣環之上表面與該基板之上表面之間的該距離。
  12. 如申請專利範圍第11項之操作基板支撐件的方法,其中該邊緣環係佈置於該基板支撐件的一外部部分上,且其中使該邊緣環升高及降低包含控制該作動器以使該外部部分升高及降低。
  13. 如申請專利範圍第11項之操作基板支撐件的方法,其中該邊緣環包含一內環部分,該內環部分係可獨立於該邊緣環而移動的。
  14. 如申請專利範圍第11項之操作基板支撐件的方法,其中該內部部分對應於一靜電卡盤。
  15. 如申請專利範圍第11項之操作基板支撐件的方法,其中使該邊緣環及該內部部分其中至少一者升高及降低包含使用一或更多作動銷。
  16. 如申請專利範圍第11項之操作基板支撐件的方法,其中計算該期望的袋部深度包含基於該至少一處理參數而計算該期望的袋部深度,其中該至少一處理參數包含欲於基板上執行的所選擇配方或處理、處理腔室之特性、基板之厚度、期望的氣體速度分佈、及期望的蝕刻均勻性其中至少一者。
  17. 如申請專利範圍第16項之操作基板支撐件的方法,更包含接收指示該至少一處理參數的至少一輸入並基於該輸入而計算該期望的袋部深度。
  18. 如申請專利範圍第17項之操作基板支撐件的方法,更包含將指示該至少一處理參數與該期望的袋部深度之間的該關係的該數據儲存在一記憶體中。
  19. 如申請專利範圍第18項之操作基板支撐件的方法,更包含基於該輸入而從該記憶體擷取該數據並基於所擷取的該數據而計算該期望的袋部深度。
  20. 如申請專利範圍第19項之操作基板支撐件的方法,更包含進一步基於儲存在該記憶體中的一模型及一查閱表其中至少一者而計算該期望的袋部深度。
TW106104190A 2016-02-12 2017-02-09 用於蝕刻均勻性控制之可變深度邊緣環 TWI745347B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662294593P 2016-02-12 2016-02-12
US62/294,593 2016-02-12
US15/422,823 US10651015B2 (en) 2016-02-12 2017-02-02 Variable depth edge ring for etch uniformity control
US15/422,823 2017-02-02

Publications (2)

Publication Number Publication Date
TW201737405A TW201737405A (zh) 2017-10-16
TWI745347B true TWI745347B (zh) 2021-11-11

Family

ID=59560349

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104190A TWI745347B (zh) 2016-02-12 2017-02-09 用於蝕刻均勻性控制之可變深度邊緣環

Country Status (4)

Country Link
US (2) US10651015B2 (zh)
KR (1) KR20170095137A (zh)
CN (1) CN107086168B (zh)
TW (1) TWI745347B (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP7037964B2 (ja) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 測定器、及びフォーカスリングを検査するためのシステムの動作方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
JP7045931B2 (ja) * 2018-05-30 2022-04-01 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11450545B2 (en) 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
KR102407266B1 (ko) * 2019-10-02 2022-06-13 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US12027397B2 (en) 2020-03-23 2024-07-02 Applied Materials, Inc Enclosure system shelf including alignment features
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130288477A1 (en) * 2010-12-08 2013-10-31 Oc Oerlikon Balzers Ag Apparatus and method for depositing a layer onto a substrate
TW201347063A (zh) * 2012-04-25 2013-11-16 Applied Materials Inc 晶圓邊緣的測量與控制
US20140094039A1 (en) * 2012-09-28 2014-04-03 Applied Materials, Inc. Edge ring lip
TW201426854A (zh) * 2012-07-19 2014-07-01 Lam Res Corp 具有可調整的電漿排除區域環之邊緣排除控制
TW201528310A (zh) * 2013-09-20 2015-07-16 Lam Res Corp 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制

Family Cites Families (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3534753A (en) 1968-03-18 1970-10-20 Veriflo Corp Ratio controller for gases
DE2831856B2 (de) 1978-07-20 1981-07-02 Drägerwerk AG, 2400 Lübeck Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US5220515A (en) 1991-04-22 1993-06-15 Applied Materials, Inc. Flow verification for process gas in a wafer processing system apparatus and method
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5413145A (en) 1993-04-19 1995-05-09 Texaco Inc. Low-pressure-drop critical flow venturi
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5520969A (en) 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6050283A (en) 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US6075606A (en) 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
JP3247079B2 (ja) 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US20030011619A1 (en) 1997-10-08 2003-01-16 Robert S. Jacobs Synchronization and blending of plural images into a seamless combined image
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6217937B1 (en) 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6348558B1 (en) 1999-12-10 2002-02-19 Shearwater Corporation Hydrolytically degradable polymers and hydrogels made therefrom
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
EP1265700B1 (en) 2000-03-07 2005-01-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6645302B2 (en) 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US20040026149A1 (en) 2000-09-19 2004-02-12 Wilkinson Donald Raymond Occupant restraint
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4433614B2 (ja) 2001-01-17 2010-03-17 ソニー株式会社 エッチング装置
EP1233333A1 (en) 2001-02-19 2002-08-21 Hewlett-Packard Company Process for executing a downloadable service receiving restrictive access rights to al least one profile file
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
JP4209688B2 (ja) 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20020189947A1 (en) 2001-06-13 2002-12-19 Eksigent Technologies Llp Electroosmotic flow controller
US6703235B2 (en) 2001-06-25 2004-03-09 Board Of Regents, The University Of Texas System Complex multicellular assemblies ex vivo
US6949704B2 (en) 2001-06-27 2005-09-27 Yamaha Corporation Apparatus for delivering music performance information via communication network and apparatus for receiving and reproducing delivered music performance information
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
JP2003032001A (ja) 2001-07-13 2003-01-31 Murata Mfg Co Ltd 複合高周波スイッチ、高周波モジュール及び通信機
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
TW200626020A (en) 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
EP1324033B1 (de) 2001-12-21 2006-09-20 Agilent Technologies, Inc. (a Delaware corporation) Verfahren zur Bereitstellung von Volumenströmen von Fluiden
US6766260B2 (en) 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6913652B2 (en) 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7903742B2 (en) 2002-07-15 2011-03-08 Thomson Licensing Adaptive weighting of reference pictures in video decoding
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US6938505B2 (en) 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US6895983B2 (en) 2002-09-26 2005-05-24 The Chemithon Corporation Method and apparatus for dividing the flow of a gas stream
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6997202B2 (en) 2002-12-17 2006-02-14 Advanced Technology Materials, Inc. Gas storage and dispensing system for variable conductance dispensing of gas at constant flow rate
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
JP4394073B2 (ja) 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
CN100508117C (zh) 2003-05-02 2009-07-01 东京毅力科创株式会社 等离子体处理装置
TW200507141A (en) 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
JP4500510B2 (ja) 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US6955072B2 (en) 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US6869348B1 (en) 2003-10-07 2005-03-22 Strasbaugh Retaining ring for wafer carriers
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
JP2005288853A (ja) 2004-03-31 2005-10-20 Brother Ind Ltd インクジェットヘッドの製造方法及びインクジェットヘッド
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4407384B2 (ja) 2004-05-28 2010-02-03 株式会社Sumco Soi基板の製造方法
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
JP2006173223A (ja) 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP2008527626A (ja) 2004-12-30 2008-07-24 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 光共振器を有する電子デバイス
US7376520B2 (en) 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7322629B2 (en) 2005-07-26 2008-01-29 Intier Automotive Inc. Locking hinge for a door structure
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
KR101153118B1 (ko) * 2005-10-12 2012-06-07 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4909609B2 (ja) 2006-03-01 2012-04-04 株式会社東芝 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム
US20070204914A1 (en) 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP4806598B2 (ja) 2006-07-18 2011-11-02 株式会社日立ハイテクノロジーズ 真空処理装置
KR101352365B1 (ko) 2006-08-09 2014-01-16 엘아이지에이디피 주식회사 플라즈마 처리장치
WO2008023711A1 (fr) 2006-08-23 2008-02-28 Horiba Stec, Co., Ltd. Appareil à tableau de distribution de gaz intégré
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
WO2008052168A2 (en) 2006-10-26 2008-05-02 Symyx Technologies, Inc. High pressure parallel fixed bed reactor and method
US7964818B2 (en) 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
JP5002602B2 (ja) 2006-12-05 2012-08-15 株式会社堀場エステック 流量制御装置の検定方法
JP4642115B2 (ja) 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
KR100783062B1 (ko) 2006-12-27 2007-12-07 세메스 주식회사 기판 지지 장치, 플라즈마 식각 장치 및 플라즈마 식각방법
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP4858395B2 (ja) 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5231441B2 (ja) 2007-10-31 2013-07-10 国立大学法人東北大学 プラズマ処理システム及びプラズマ処理方法
US8191397B2 (en) 2007-12-12 2012-06-05 Air Liquide Electronics U.S. Lp Methods for checking and calibrating concentration sensors in a semiconductor processing chamber
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
WO2009084422A1 (ja) 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
JP2011510404A (ja) 2008-01-18 2011-03-31 ピヴォタル システムズ コーポレーション ガスの流量を決定する方法、ガス・フロー・コントローラの動作を決定する方法、ガスフローコントロールシステムの一部の容量を決定する方法、及びガス搬送システム
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
JPWO2009107777A1 (ja) 2008-02-27 2011-07-07 株式会社東芝 動画像符号化/復号装置
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
JP5608157B2 (ja) 2008-03-21 2014-10-15 アプライド マテリアルズ インコーポレイテッド 基板エッチングシステム及びプロセスの方法及び装置
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
RU2475803C2 (ru) 2008-08-13 2013-02-20 Шелл Интернэшнл Рисерч Маатсхаппий Б.В. Способ регулирования расхода газа между множеством потоков газа
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US20100122655A1 (en) 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8291935B1 (en) 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
JP2011047930A (ja) 2009-07-31 2011-03-10 Tdk Corp 磁気抵抗効果素子およびセンサ
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
CN102754201A (zh) 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
JP5650548B2 (ja) 2009-12-25 2015-01-07 株式会社堀場エステック マスフローコントローラシステム
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
JP2011210853A (ja) 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9000114B2 (en) 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP2012049376A (ja) 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101883360B1 (ko) 2011-03-28 2018-07-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
KR101896491B1 (ko) * 2011-03-29 2018-09-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
WO2012166264A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
WO2012166265A2 (en) * 2011-05-31 2012-12-06 Applied Materials, Inc. Apparatus and methods for dry etch with edge, side and back protection
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US20130255784A1 (en) 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9472443B2 (en) * 2013-03-14 2016-10-18 Applied Materials, Inc. Selectively groundable cover ring for substrate process chambers
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
WO2014145360A1 (en) 2013-03-15 2014-09-18 Nanonex Corporation Imprint lithography system and method for manufacturing
KR20140132542A (ko) 2013-05-08 2014-11-18 주식회사 미코 세라믹 히터 및 이의 제조 방법
JP5962921B2 (ja) * 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
FR3008266B1 (fr) 2013-07-03 2015-08-07 Commissariat Energie Atomique Procede et systeme d'acces multiple avec multiplexage frequentiel de requetes d'autorisation d'envoi de donnees
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
US9816506B2 (en) 2013-07-31 2017-11-14 Trane International Inc. Intermediate oil separator for improved performance in a scroll compressor
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
CN106133873B (zh) * 2014-03-12 2019-07-05 应用材料公司 在半导体腔室中的晶片旋转
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9034771B1 (en) 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
SG11201703129YA (en) 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9966270B2 (en) 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
DE102016202071A1 (de) 2016-02-11 2017-08-17 Siemens Aktiengesellschaft Elektrischer Leiter für eine elektrische Maschine mit erhöhtem Leistungsgewicht und elektrische Komponente für die elektrische Maschine
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10510516B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
US10504738B2 (en) 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
US10591934B2 (en) 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US11093605B2 (en) 2018-06-28 2021-08-17 Cisco Technology, Inc. Monitoring real-time processor instruction stream execution
US10760944B2 (en) 2018-08-07 2020-09-01 Lam Research Corporation Hybrid flow metrology for improved chamber matching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130288477A1 (en) * 2010-12-08 2013-10-31 Oc Oerlikon Balzers Ag Apparatus and method for depositing a layer onto a substrate
TW201347063A (zh) * 2012-04-25 2013-11-16 Applied Materials Inc 晶圓邊緣的測量與控制
TW201426854A (zh) * 2012-07-19 2014-07-01 Lam Res Corp 具有可調整的電漿排除區域環之邊緣排除控制
US20140094039A1 (en) * 2012-09-28 2014-04-03 Applied Materials, Inc. Edge ring lip
TW201528310A (zh) * 2013-09-20 2015-07-16 Lam Res Corp 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制

Also Published As

Publication number Publication date
US20200273671A1 (en) 2020-08-27
TW201737405A (zh) 2017-10-16
US20170236741A1 (en) 2017-08-17
US10651015B2 (en) 2020-05-12
CN107086168A (zh) 2017-08-22
CN107086168B (zh) 2021-02-02
KR20170095137A (ko) 2017-08-22
US11342163B2 (en) 2022-05-24

Similar Documents

Publication Publication Date Title
TWI745347B (zh) 用於蝕刻均勻性控制之可變深度邊緣環
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
TWI761337B (zh) 基板處理系統
TWI774652B (zh) 用以進行邊緣環特徵化之系統及方法
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
KR102275987B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR20190128744A (ko) 주파수 튜닝 보조된 듀얼-레벨 펄싱 (dual-level pulsing) 을 위한 RF 매칭 네트워크의 보조 회로
TW202405987A (zh) 用於邊緣環耗損補償的系統和方法
KR20210034095A (ko) 기판 벌크 저항률 변동들에 응답하여 증착 레이트 또는 에칭 레이트 변화들을 감소시키기 위한 rf 전력 보상
US20230298859A1 (en) Optimizing edge radical flux in a downstream plasma chamber
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템