CN107086168B - 用于蚀刻均匀性控制的可变深度边缘环 - Google Patents
用于蚀刻均匀性控制的可变深度边缘环 Download PDFInfo
- Publication number
- CN107086168B CN107086168B CN201710076027.2A CN201710076027A CN107086168B CN 107086168 B CN107086168 B CN 107086168B CN 201710076027 A CN201710076027 A CN 201710076027A CN 107086168 B CN107086168 B CN 107086168B
- Authority
- CN
- China
- Prior art keywords
- edge ring
- substrate
- substrate support
- pit depth
- depth
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000000758 substrate Substances 0.000 claims abstract description 147
- 238000000034 method Methods 0.000 claims description 103
- 230000008569 process Effects 0.000 claims description 58
- 239000007789 gas Substances 0.000 description 73
- 238000012545 processing Methods 0.000 description 31
- 238000009826 distribution Methods 0.000 description 18
- 235000012431 wafers Nutrition 0.000 description 10
- 239000000203 mixture Substances 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 8
- 230000006698 induction Effects 0.000 description 6
- 238000002347 injection Methods 0.000 description 6
- 239000007924 injection Substances 0.000 description 6
- 238000005530 etching Methods 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000005259 measurement Methods 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- 230000001154 acute effect Effects 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68721—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本发明提供一种用于蚀刻均匀性控制的可变深度边缘环。衬底支撑件包括布置成支撑衬底的内部部分、围绕内部部分的边缘环以及计算衬底支撑件的期望凹坑深度的控制器。凹坑深度对应于边缘环的上表面和衬底的上表面之间的距离。基于期望凹坑深度,控制器选择性地控制致动器以升高和降低边缘环和内部部分中的至少一个,以调节边缘环的上表面和衬底的上表面之间的距离。
Description
相关申请的交叉引用
本申请要求于2016年2月12日提交的美国临时申请No.62/294,593的权益。上述申请的全部公开内容通过引用并入本文。
技术领域
本发明涉及衬底处理,更具体地涉及用于控制衬底处理中的蚀刻均匀性的系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文的目的。目前所署名的发明人的工作,在该背景技术部分以及本说明书的在申请时不会以其他方式被认为是现有技术的方面中描述的程度上,既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可以用于蚀刻诸如半导体晶片之类的衬底上的膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且射频(RF)等离子体可以用于激活化学反应。
衬底支撑件可以包括围绕衬底支撑件的外部部分(例如,在周边的外部和/或与周边相邻)布置的边缘环。可以提供边缘环以将等离子体限制到衬底上方的体积,保护衬底支撑件免受等离子体等引起的侵蚀。
发明内容
衬底支撑件包括布置成支撑衬底的内部部分、围绕内部部分的边缘环以及计算衬底支撑件的期望凹坑深度的控制器。凹坑深度对应于边缘环的上表面和衬底的上表面之间的距离。基于期望凹坑深度,控制器选择性地控制致动器以升高和降低边缘环和内部部分中的至少一个,以调节边缘环的上表面和衬底的上表面之间的距离。
一种操作衬底支撑件的方法,包括将衬底布置在衬底支撑件的内部部分上并计算衬底支撑件的期望凹坑深度。凹部深度对应于围绕内部部分的边缘环的上表面与衬底的上表面之间的距离。该方法还包括基于期望凹坑深度,选择性地控制致动器以升高和降低边缘环和内部部分中的至少一个,以调节边缘环的上表面和衬底的上表面之间的距离。
本公开的其他适用领域将从详细描述、权利要求和附图中变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
具体而言,本发明的一些方面可以描述如下:
1.一种衬底支撑件,包括:
内部部分,其布置成支撑衬底;
边缘环,其围绕所述内部部分;和
控制器,其
计算所述衬底支撑件的期望凹坑深度,其中凹坑深度对应于所述边缘环的上表面与所述衬底的上表面之间的距离,并且
基于所述期望凹坑深度,选择性地控制致动器以升高和降低所述边缘环和所述内部部分中的至少一个,以调节所述边缘环的上表面和所述衬底的上表面之间的距离。
2.根据条款1所述的衬底支撑件,其中所述边缘环布置在所述衬底支撑件的外部部分上,并且为了升高和降低所述边缘环,所述控制器控制所述致动器以升高和降低所述外部部分。
3.根据条款1所述的衬底支撑件,其中所述边缘环包括能独立于所述边缘环移动的内环部分。
4.根据条款1所述的衬底支撑件,其中所述内部部分相当于静电卡盘。
5.根据条款1所述的衬底支撑件,其中所述致动器使用一个或多个致动器销来升高和降低所述边缘环和所述内部部分中的至少一个。
6.根据条款1所述的衬底支撑件,其中所述控制器基于至少一个工艺参数计算所述期望凹坑深度,其中所述工艺参数包括要在所述衬底上执行的选定配方或工艺、处理室的特性、衬底的厚度、期望气体速度分布和期望蚀刻均匀性中的至少一个。
7.根据条款6所述的衬底支撑件,其中所述控制器包括凹坑深度计算模块,所述凹坑深度计算模块接收指示所述工艺参数的至少一个输入,并基于所述输入计算所述期望凹坑深度。
8.根据条款7所述的衬底支撑件,其还包括存储器,所述存储器存储指示所述工艺参数和所述期望凹坑深度之间的关系的数据。
9.根据条款8所述的衬底支撑件,其中所述凹坑深度计算模块(i)基于所述输入从所述存储器取回数据并且(ii)基于所取回的数据计算所述期望凹坑深度。
10.根据条款9所述的衬底支撑件,其中所述凹坑深度计算模块进一步基于存储在所述存储器中的模型和查找表中的至少一个来计算所述期望凹坑深度。
11.一种操作衬底支撑件的方法,所述方法包括:
将衬底布置在所述衬底支撑件的内部部分上;
计算所述衬底支撑件的期望凹坑深度,其中凹坑深度对应于围绕所述内部部分的边缘环的上表面与所述衬底的上表面之间的距离;和
基于所述期望凹坑深度,选择性地控制致动器以升高和降低所述边缘环和所述内部部分中的至少一个,以调节所述边缘环的上表面和所述衬底的上表面之间的距离。
12.根据条款11所述的方法,其中所述边缘环布置在所述衬底支撑件的外部部分上,并且其中升高和降低所述边缘环包括控制所述致动器以升高和降低所述外部部分。
13.根据条款11所述的方法,其中所述边缘环包括能独立于所述边缘环移动的内环部分。
14.根据条款11所述的方法,其中所述内部部分相当于静电卡盘。
15.根据条款11所述的方法,其中升高和降低所述边缘环和所述内部部分中的至少一个包括使用一个或多个致动器销。
16.根据条款11所述的方法,其中计算所述期望凹坑深度包括基于至少一个工艺参数计算所述期望凹坑深度,其中所述工艺参数包括要在所述衬底上执行的选定配方或工艺、处理室的特性、衬底的厚度、期望气体速度分布和期望蚀刻均匀性中的至少一个。
17.根据条款16所述的方法,其还包括接收指示所述工艺参数的至少一个输入,并且基于所述输入计算所述期望凹坑深度。
18.根据条款17所述的方法,还包括在存储器中存储指示所述工艺参数和所述期望凹坑深度之间的关系的数据。
19.根据条款18所述的方法,还包括基于所述输入从所述存储器取回所述数据并且基于所取回的数据计算所述期望凹坑深度。
20.根据条款19所述的方法,还包括进一步基于存储在所述存储器中的模型和查找表中的至少一个来计算所述期望凹坑深度。
附图说明
从详细描述和附图将更充分地理解本公开,其中:
图1A和1B示出了根据本公开的示例性蚀刻速率;
图2A、2B和2C示出了根据本公开的示例性气体速度分布;
图3是根据本公开的示例性处理室的功能框图;
图4A、4B和4C示出了根据本公开的处于降低位置的示例性可变深度边缘环;
图5A、5B和5C示出了根据本公开的处于升高位置的示例性可变深度边缘环;
图6A和6B示出了根据本公开的另一示例性可变深度边缘环;
图7A示出了根据本公开的处于降低位置的示例性衬底支撑件;
图7B示出了根据本公开的处于升高位置的示例性衬底支撑件;
图8示出了根据本公开的由边缘环限定的示例性可调节的凹坑深度;
图9示出了根据本公开的示例性控制器;和
图10示出了根据本公开的用于改变凹坑深度的示例性方法。
在附图中,附图标记可以重复使用以标识类似和/或相同的元件。
具体实施方式
衬底处理系统中的衬底支撑件可以包括边缘环。边缘环的上表面可以在衬底支撑件的上表面上方延伸。因此,衬底支撑件的上表面(以及在一些示例中,布置在衬底支撑件上的衬底的上表面)可以相对于边缘环凹进。该凹部可以被称为凹坑(pocket)。边缘环的上表面和衬底的上表面之间的距离可以被称为“凹坑深度(pocket depth)”。通常,凹坑深度根据边缘环相对于衬底的上表面的高度是固定的。如果需要不同的凹坑深度,则边缘环必须手动更换,这可能受到晶片处理约束、工艺约束、室约束等的限制。
蚀刻处理的一些方面可由于衬底处理系统、衬底、气体混合物等的特性而变化。例如,蚀刻速率和蚀刻均匀性可根据边缘环的凹坑深度而变化。在图1A和1B中,曲线分别示出了在利用包括CF4的配方的示例性多晶硅蚀刻工艺中和在利用不包括CF4的配方的示例性多晶硅蚀刻工艺中的蚀刻速率10和14。在图1A和1B中,y轴表示以埃/分钟(A/min)为单位的蚀刻速率(ER),而x轴表示距衬底中心的径向距离(以mm计)。蚀刻速率10和14各自包括对应于衬底的上表面和气体分配装置(例如,喷头)的底表面之间的距离的多个蚀刻速率。例如,蚀刻速率10包括蚀刻速率10-1、10-2、10-3、10-4、10-5和10-6,其分别对应于0.62英寸、0.82英寸、1.02英寸、1.22英寸、1.44英寸和1.64英寸。蚀刻速率14包括蚀刻速率14-1、14-2、14-3、14-4、14-5和14-6,其分别对应于0.62英寸、0.82英寸、1.02英寸、1.22英寸、1.44英寸和1.64英寸。
如图所示,总蚀刻速率10和14通常随着衬底的上表面和气体分配装置的底表面之间的距离增加(例如,从在蚀刻速率10和14的最底处的0.62英寸到在蚀刻速率10和14的最高处的1.64英寸)而减小。此外,蚀刻速率10和14从衬底的中心到衬底的外周边变化(例如,通常减小),并且通常接近最小值和在距离中心大约140mm(大约5.51英寸)处的拐点。蚀刻速率10和14在离衬底中心超过140mm的距离处急剧增加。该拐点可以随着工艺参数而变化,该工艺参数包括但不限于跨越衬底的上表面的最大气体速度。
改变边缘环的高度可以改变跨越衬底表面的气体速度分布。图2A、2B和2C示出了具有不同边缘环高度和相应的凹坑深度的示例性气体速度分布20、24和28。在图2A中,边缘环的上表面具有在衬底的上表面上方约0.042英寸的高度。在图2B中,边缘环的上表面具有大约等于衬底的上表面(即,在衬底的上表面上方0.0英寸)的高度。在图2C中,边缘环的上表面具有在衬底的上表面下方约0.03英寸的高度。因此,随着凹坑深度变化,蚀刻速率均匀性(包括峰的最大和最小蚀刻速率位置、拐点的位置等)也变化。
根据本发明原理的可变深度边缘环系统和方法基于期望的工艺参数升高和降低边缘环以控制凹坑深度。例如,边缘环可以耦合到致动器,该致动器被配置为响应于控制器、用户界面等而升高和降低边缘环。在一个示例中,衬底处理系统的控制器在工艺过程中、在工艺步骤之间等,根据正在执行的特定配方控制边缘环的高度。仅作为示例,控制器可以存储对凹坑深度、边缘环高度等进行索引的一个或多个参数的数据(例如,查找表),该一个或多个参数包括但不限于所选择的配方或工艺、所选择的工艺步骤、期望的气体速度、期望的拐点等。数据可以对应于预定的(例如,校准的或编程的)数据、由用户经由接口提供的数据等。以这种方式,可以实现凹坑深度的范围而不需要替换或手动调整边缘环以用于不同的配方、步骤、工艺参数等。
现在参考图3,示出了用于蚀刻根据本公开的衬底的层(仅作为示例,钨或W层)的衬底处理室100的示例。尽管示出和描述了特定的衬底处理室,但是本文描述的方法可以在其他类型的衬底处理系统上实现。
衬底处理室100包括下室区域102和上室区域104。下室区域102由室侧壁表面108、室底表面110和气体分配装置114的下表面限定。
上室区域104由气体分配装置114的上表面和圆顶118的内表面限定。在一些示例中,圆顶118搁置在第一环形支撑件121上。在一些示例中,第一环形支撑件121包括用于将工艺气体输送到上室区域104的一个或多个间隔孔123,如下面将进一步描述的。在一些示例中,工艺气体通过一个或多个间隔孔123以相对于包含气体分配装置114的平面成锐角的向上方向输送,但也可以使用其它角度/方向。在一些示例中,第一环形支撑件121中的气体流动通道134向一个或多个间隔孔123供应气体。
第一环形支撑件121可以搁置在第二环形支撑件125上,第二环形支撑件125限定用于将工艺气体从气体流动通道129输送到下室区域102的一个或多个间隔孔127。在一些示例中,气体分配装置114中的孔131与孔127对准。在其他示例中,气体分配装置114具有较小的直径,并且不需要孔131。在一些示例中,工艺气体通过一个或多个间隔孔127以相对于包括气体分配装置114的平面成锐角朝向衬底以向下方向输送,但也可以使用其它角度/方向。
在其他示例中,上室区域104是具有平坦顶表面的圆柱形,并且可以使用一个或多个平坦的感应线圈。在其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔件一起使用。
衬底支撑件122布置在下室区域102中。在一些示例中,衬底支撑件122包括静电卡盘(ESC),但也可以使用其它类型的衬底支撑件。在蚀刻期间,衬底126布置在衬底支撑件122的上表面上。在一些示例中,衬底126的温度可以由加热板130、具有流体通道的可选冷却板和一个或多个传感器(未示出)控制;但也可以使用任何其它合适的衬底支撑件温度控制系统。
在一些示例中,气体分配装置114包括喷头(例如,具有多个间隔孔133的板128)。多个间隔孔133从板128的上表面延伸到板128的下表面。在一些示例中,间隔孔133具有在0.4英寸至0.75英寸范围内的直径,并且喷头由诸如铝之类的导电材料或诸如具有由导电材料制成的嵌入电极的陶瓷之类的非导电材料制成。
一个或多个感应线圈140围绕圆顶118的外部部分布置。当通电时,一个或多个感应线圈140在圆顶118内部产生电磁场。在一些示例中,使用上部线圈和下部线圈。气体喷射器142从气体输送系统150-1喷射一种或多种气体混合物。
在一些示例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(MFC)156和混合歧管158,但也可使用其它类型的气体输送系统。气体分离器(未示出)可以用于改变气体混合物的流速。可以使用另一气体输送系统150-2来向气体流动通道129和/或134供应蚀刻气体或蚀刻气体混合物(除了来自气体喷射器142的蚀刻气体或者代替来自气体喷射器142的蚀刻气体)。
合适的气体输送系统在于2015年12月4日提交的共同转让的标题为“GasDelivery System”的美国专利申请序列号14/945,680中示出和描述,并且其全部内容通过引用并入本文。合适的单个或双个的气体喷射器和其它气体注入位置在于2016年1月7日提交的题为“Substrate Processing System with Multiple Injection Points and DualInjector”的共同转让的美国临时专利申请序列号62/275,837中示出和描述,其全部内容通过引用并入本文。
在一些示例中,气体喷射器142包括在向下方向上引导气体的中心喷射位置和以相对于向下方向成一定角度喷射气体的一个或多个侧喷射位置。在一些示例中,气体输送系统150-1将气体混合物的第一部分以第一流速输送到中心注射位置,并将气体混合物的第二部分以第二流速输送到气体喷射器142的(多个)侧注射位置。在其他示例中,由气体喷射器142输送不同的气体混合物。在一些示例中,气体输送系统150-1将如下所述地将调节气体输送到气体流动通道129和134和/或输送到处理室的其它位置。
等离子体发生器170可以用于产生输出到一个或多个感应线圈140的RF功率。等离子体190在上室区域104中产生。在一些示例中,等离子体发生器170包括RF发生器172和匹配网络174。匹配网络174将RF发生器172的阻抗与一个或多个感应线圈140的阻抗匹配。在一些示例中,气体分配装置114连接到诸如接地之类的参考电位。阀178和泵180可以用于控制下室区域102和上室区域104内部的压力并且用于排空反应物。
控制器176与气体输送系统150-1和150-2、阀178、泵180和/或等离子体发生器170连通,以控制工艺气体的流动、吹扫气体、RF等离子体和室压力。在一些示例中,通过一个或多个感应线圈140在圆顶118内维持等离子体。使用气体喷射器142(和/或孔123)从室的顶部引入一种或多种气体混合物,并且使用气体分配装置114将等离子体限制在圆顶118内。
将等离子体限制在圆顶118中允许等离子体物质的体积复合和通过气体分配装置114流出期望的蚀刻物质。在一些示例中,没有RF偏压施加到衬底126。结果,在衬底126上不存在活性鞘并且离子不以任何有限的能量撞击衬底。一些量的离子将通过气体分配装置114扩散出等离子体区域。然而,扩散的等离子体的量比位于圆顶118内部的等离子体低一个数量级。等离子体中的大多数离子被损失在高压下的体积复合。气体分配装置114的上表面处的表面复合损失也降低气体分配装置114下方的离子密度。
在其他示例中,提供RF偏压发生器184,并且RF偏压发生器184包括RF发生器186和匹配网络188。RF偏压可以用于在气体分配装置114和衬底支撑件之间产生等离子体,或者在衬底126上产生自偏置以吸引离子。控制器176可以用于控制RF偏置。
衬底支撑件122包括边缘环192。根据本公开的原理的边缘环192相对于衬底126是可移动的(例如,可沿垂直方向向上和向下移动)。例如,边缘环192可以经由响应于控制器176的致动器来控制,如下面更详细地描述的。
现在参考图4A、4B和4C、5A、5B和5C,示出了根据本公开的原理的其上布置有相应衬底204的示例性衬底支撑件200。衬底支撑件200可各自包括具有内部部分(例如,相当于ESC)208和外部部分212的基部或基座。在示例中,外部部分212可以独立于内部部分208并且可相对于内部部分208移动。衬底布置在内部部分208上用于处理。控制器216与一个或多个致动器220通信以选择性地升高和降低边缘环224以调节支撑件200的凹坑深度。仅作为示例,每个边缘环224在图4A、4B和4C中示出为处于完全降低的位置,并且在图5A、5B和5C中示出为处于示例性的完全升高的位置。如示例中所示,致动器220相当于被构造成在垂直方向上使销228选择性地延伸和缩回的销致动器。在其他示例中可以使用其他合适类型的致动器。仅作为示例,边缘环224相当于陶瓷或石英边缘环。
在一些示例中,控制器216基于表示边缘环224和/或内部部分208的位置(即,指示凹坑深度)的反馈来调节凹坑深度。在一个示例中,控制器216可以与光学传感器230通信,光学传感器230被配置为基于从衬底204的表面反射的信号来确定边缘环224和/或内部部分208的位置,如图4A、4B和4C中所示。在另一示例中,致动器220实现位置编码器以向控制器216提供指示边缘环224和/或内部部分208的位置的信号。以这种方式,控制器216可以调节凹坑深度,直到实际凹坑深度对应于期望的凹坑深度。
在图4A中,控制器216与致动器220通信以经由销228直接地升高和降低边缘环224。在图4B中,控制器216与致动器220通信以升高和降低其上安装有边缘环224的外部部分212。在图4C中,控制器216与致动器220通信以经由销228直接升高和降低边缘环224,和/或经由销236直接升高和降低边缘环224的单独的内环部分232。
在图6A和6B中,示出了具有独立可移动的内环部分232和外环部分240的另一示例性边缘环224。在图6A中,内环部分232和外环部分240都示出为处于完全降低的位置。在图6B中,内环部分232被示出处于升高位置,并且外环部分240被示出处于完全降低的位置。在其它示例中,内环部分232和外环部分240可被致动到升高位置,内环部分232可被完全降低,同时外环部分240被致动到升高位置等。
在另一个示例中,如图7A和7B所示,边缘环224(和/或在其上安装有边缘环224的外部部分212)的绝对高度可以相对于腔室的底表面固定。相反,内部部分208(例如,ESC)可相对于边缘环224移动。因此,控制器216可与致动器220通信以相对于边缘环224升高和降低内部部分208,以调节凹坑深度。内部部分208在图7A中示出为处于完全降低的位置,并且在图7B中处于完全升高的位置。
图8示出了处于完全升高位置以限定相对于衬底304的上表面的凹坑深度d的示例性边缘环300。如上面在各种示例中所描述的,凹坑深度d可以根据一个或多个参数来确定,但该一个或多个参数包括但不限于所选择的配方或工艺、配方材料或步骤材料、温度、室特性、用户定义的变量、正在处理的衬底的厚度、期望的气体速度分布、期望的蚀刻均匀性等。凹坑深度d可以由控制器基于参数和凹坑深度之间的预定和/或编程的关系(例如,使用查找表、模型等)来自动控制,和/或可以根据用户输入来控制。
现在参考图9,示例性控制器400包括凹坑深度计算模块404,其被配置为计算期望的凹坑深度d。例如,凹坑深度计算模块404接收一个或多个输入408,该一个或多个输入包括但不限于所选择的配方或工艺、配方材料或步骤材料、温度、室特性、用户定义的变量、正被处理的衬底的厚度、期望的气体速度分布、期望的蚀刻均匀性和/或其他用户输入、传感器测量等。凹坑深度计算模块404基于接收的输入408计算期望的凹坑深度d。例如,凹坑深度计算模块404可以根据指示对应于输入408的参数和期望的凹坑深度d之间的预定和/或编程的关系的数据来计算期望凹坑深度d。仅作为示例,数据可以存储在存储器412中和/或从存储器412中取回。数据可以包括但不限于一个或多个查找表、要由凹坑深度计算模块404执行的模型等。
凹坑深度计算模块404将计算的凹坑深度d提供给致动器控制模块416。致动器控制模块416基于计算的凹坑深度d输出一个或多个控制信号,以控制相应的致动器。例如,控制信号可以提供给致动器,例如提供给图4-7中描述的致动器220。
现在参考图10,根据本公开的用于改变凹坑深度的示例方法500开始于504。在508,可以将衬底布置在衬底支撑件上用于处理。衬底支撑件可以具有初始凹坑深度d。初始凹坑深度d可对应于默认凹坑深度(即,预定凹坑深度),例如有助于将衬底加载到衬底支撑件上的凹坑深度。
在512,方法500(例如,控制器400,经由致动器220)根据第一组参数将衬底支撑件的凹坑深度调整到第一凹坑深度。例如,第一组参数可以对应于要在衬底上执行的所选择的配方或工艺的第一处理步骤。在512,方法516开始处理衬底。在520,方法500(例如,控制器400)接收与凹坑深度相关联的一个或多个输入,该一个或多个输入包括在处理期间可以变化的输入,例如不同处理步骤的启动、温度、压力、气体速度、室化学、传感器测量和/或其他室特性。在524,方法500(例如,控制器400)基于所接收的输入确定是否调整凹坑深度。如果为真,则方法500继续到528以调整凹坑深度(例如,使用控制器400)。如果为假,则方法500继续到532。
在532,方法500(例如,控制器400)确定衬底的处理是否完成。如果为真,则方法500在536处结束。如果为假,则方法500继续到520。因此,方法500可以响应于接收到的指示处理参数的输入,继续调整衬底支撑件在处理衬底期间的凹坑深度。
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定的实施例,但本公开的真实范围不应被如此限制,因为一旦研究附图、说明书和以下权利要求,其它的修改方案就会变得清楚。应当理解的是,方法中的一个或多个步骤可以以不同的顺序(或同时)进行,而不会改变本公开的原理。此外,虽然各实施方式在上面描述为具有某些特征,但相对于本公开的任何实施方式所描述的这些特征中的任何一个或多个可以在任何其它实施方式中实现和/或结合任何其它实施方式中的特征,即使这种结合未明确说明也如此。换言之,所描述的实施方式不是相互排斥的,并且一个或多个实施方式相互的更换方案保持在本公开的范围内。
在元件之间(例如,在模块、电路元件、半导体层等等之间)的空间和功能关系使用各种术语描述,这些术语包括“连接”、“接合”、“耦合”、“相邻”、“紧接”、“在……顶部”、“在……上面”、“在……下面”和“被设置”。除非明确地描述为“直接”,否则当第一和第二元件之间的关系在上述公开内容中描述时,这种关系可以是直接的关系,其中没有其它中间元件存在于第一和第二元件之间,但也可以是间接的关系,其中一个或多个中间元件(或者在空间上或功能上)存在于第一和第二元件之间。如本文所用的,短语“A、B和C中的至少一个”应当解释为意味着使用非排他逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B,和至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、出入工具和其它传送工具和/或连接到特定系统或与特定系统交互的负载锁的晶片传送。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
Claims (20)
1.一种衬底支撑件,包括:
内部部分,其布置成支撑衬底;
边缘环,其围绕所述内部部分;和
控制器,其
基于至少一个工艺参数计算所述衬底支撑件的期望凹坑深度,其中凹坑深度对应于所述边缘环的上表面与所述衬底的上表面之间的距离,并且
基于所述期望凹坑深度,选择性地控制致动器以升高和降低所述边缘环和所述内部部分中的至少一个,以调节所述边缘环的上表面和所述衬底的上表面之间的距离。
2.根据权利要求1所述的衬底支撑件,其中所述边缘环布置在所述衬底支撑件的外部部分上,并且为了升高和降低所述边缘环,所述控制器控制所述致动器以升高和降低所述外部部分。
3.根据权利要求1所述的衬底支撑件,其中所述边缘环包括能独立于所述边缘环移动的内环部分。
4.根据权利要求1所述的衬底支撑件,其中所述内部部分相当于静电卡盘。
5.根据权利要求1所述的衬底支撑件,其中所述致动器使用一个或多个致动器销来升高和降低所述边缘环和所述内部部分中的至少一个。
6.根据权利要求1所述的衬底支撑件,其中所述工艺参数包括要在所述衬底上执行的选定配方或工艺、处理室的特性、衬底的厚度、期望气体速度分布和期望蚀刻均匀性中的至少一个。
7.根据权利要求6所述的衬底支撑件,其中所述控制器包括凹坑深度计算模块,所述凹坑深度计算模块接收指示所述工艺参数的至少一个输入,并基于所述输入计算所述期望凹坑深度。
8.根据权利要求7所述的衬底支撑件,其还包括存储器,所述存储器存储指示所述工艺参数和所述期望凹坑深度之间的关系的数据。
9.根据权利要求8所述的衬底支撑件,其中所述凹坑深度计算模块(i)基于所述输入从所述存储器取回数据并且(ii)基于所取回的数据计算所述期望凹坑深度。
10.根据权利要求9所述的衬底支撑件,其中所述凹坑深度计算模块进一步基于存储在所述存储器中的模型和查找表中的至少一个来计算所述期望凹坑深度。
11.一种操作衬底支撑件的方法,所述方法包括:
将衬底布置在所述衬底支撑件的内部部分上;
基于至少一个工艺参数计算所述衬底支撑件的期望凹坑深度,其中凹坑深度对应于围绕所述内部部分的边缘环的上表面与所述衬底的上表面之间的距离;和
基于所述期望凹坑深度,选择性地控制致动器以升高和降低所述边缘环和所述内部部分中的至少一个,以调节所述边缘环的上表面和所述衬底的上表面之间的距离。
12.根据权利要求11所述的方法,其中所述边缘环布置在所述衬底支撑件的外部部分上,并且其中升高和降低所述边缘环包括控制所述致动器以升高和降低所述外部部分。
13.根据权利要求11所述的方法,其中所述边缘环包括能独立于所述边缘环移动的内环部分。
14.根据权利要求11所述的方法,其中所述内部部分相当于静电卡盘。
15.根据权利要求11所述的方法,其中升高和降低所述边缘环和所述内部部分中的至少一个包括使用一个或多个致动器销。
16.根据权利要求11所述的方法,其中所述工艺参数包括要在所述衬底上执行的选定配方或工艺、处理室的特性、衬底的厚度、期望气体速度分布和期望蚀刻均匀性中的至少一个。
17.根据权利要求16所述的方法,其还包括接收指示所述工艺参数的至少一个输入,并且基于所述输入计算所述期望凹坑深度。
18.根据权利要求17所述的方法,还包括在存储器中存储指示所述工艺参数和所述期望凹坑深度之间的关系的数据。
19.根据权利要求18所述的方法,还包括基于所述输入从所述存储器取回所述数据并且基于所取回的数据计算所述期望凹坑深度。
20.根据权利要求19所述的方法,还包括进一步基于存储在所述存储器中的模型和查找表中的至少一个来计算所述期望凹坑深度。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662294593P | 2016-02-12 | 2016-02-12 | |
US62/294,593 | 2016-02-12 | ||
US15/422,823 | 2017-02-02 | ||
US15/422,823 US10651015B2 (en) | 2016-02-12 | 2017-02-02 | Variable depth edge ring for etch uniformity control |
Publications (2)
Publication Number | Publication Date |
---|---|
CN107086168A CN107086168A (zh) | 2017-08-22 |
CN107086168B true CN107086168B (zh) | 2021-02-02 |
Family
ID=59560349
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710076027.2A Active CN107086168B (zh) | 2016-02-12 | 2017-02-13 | 用于蚀刻均匀性控制的可变深度边缘环 |
Country Status (4)
Country | Link |
---|---|
US (2) | US10651015B2 (zh) |
KR (1) | KR20170095137A (zh) |
CN (1) | CN107086168B (zh) |
TW (1) | TWI745347B (zh) |
Families Citing this family (70)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
KR102295988B1 (ko) | 2014-10-17 | 2021-09-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성 |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
KR102689380B1 (ko) | 2016-01-26 | 2024-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
JP6966286B2 (ja) * | 2017-10-11 | 2021-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
JP7037964B2 (ja) * | 2018-03-09 | 2022-03-17 | 東京エレクトロン株式会社 | 測定器、及びフォーカスリングを検査するためのシステムの動作方法 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10790123B2 (en) | 2018-05-28 | 2020-09-29 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
JP7045931B2 (ja) * | 2018-05-30 | 2022-04-01 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
WO2020050932A1 (en) | 2018-09-04 | 2020-03-12 | Applied Materials, Inc. | Formulations for advanced polishing pads |
JP7076351B2 (ja) * | 2018-10-03 | 2022-05-27 | 東京エレクトロン株式会社 | プラズマ処理装置、及びリング部材の厚さ測定方法 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
CN118315254A (zh) | 2019-01-22 | 2024-07-09 | 应用材料公司 | 用于控制脉冲电压波形的反馈回路 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
US11279032B2 (en) | 2019-04-11 | 2022-03-22 | Applied Materials, Inc. | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots |
US11450545B2 (en) | 2019-04-17 | 2022-09-20 | Samsung Electronics Co., Ltd. | Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
US10964584B2 (en) | 2019-05-20 | 2021-03-30 | Applied Materials, Inc. | Process kit ring adaptor |
US11626305B2 (en) | 2019-06-25 | 2023-04-11 | Applied Materials, Inc. | Sensor-based correction of robot-held object |
KR102689653B1 (ko) | 2019-06-26 | 2024-07-31 | 삼성전자주식회사 | 센서 모듈 및 이를 구비하는 식각 장치 |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
KR102407266B1 (ko) * | 2019-10-02 | 2022-06-13 | 세메스 주식회사 | 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
US11370114B2 (en) | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
US12027397B2 (en) | 2020-03-23 | 2024-07-02 | Applied Materials, Inc | Enclosure system shelf including alignment features |
USD954769S1 (en) | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
USD980176S1 (en) | 2020-06-02 | 2023-03-07 | Applied Materials, Inc. | Substrate processing system carrier |
TW202213428A (zh) * | 2020-06-05 | 2022-04-01 | 日商東京威力科創股份有限公司 | 電漿處理裝置 |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US20220328342A1 (en) * | 2021-04-08 | 2022-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma control method in semiconductor wafer fabrication |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002503765A (ja) * | 1998-02-13 | 2002-02-05 | アプライド マテリアルズ インコーポレイテッド | 化学気相堆積用リアクタ |
CN101552182B (zh) * | 2008-03-31 | 2010-11-03 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种用于半导体制造工艺中的边缘环机构 |
US7988813B2 (en) * | 2007-03-12 | 2011-08-02 | Tokyo Electron Limited | Dynamic control of process chemistry for improved within-substrate process uniformity |
Family Cites Families (321)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3534753A (en) | 1968-03-18 | 1970-10-20 | Veriflo Corp | Ratio controller for gases |
DE2831856B2 (de) | 1978-07-20 | 1981-07-02 | Drägerwerk AG, 2400 Lübeck | Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen |
NL8004005A (nl) | 1980-07-11 | 1982-02-01 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting. |
US4431477A (en) | 1983-07-05 | 1984-02-14 | Matheson Gas Products, Inc. | Plasma etching with nitrous oxide and fluoro compound gas mixture |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
EP0424299A3 (en) | 1989-10-20 | 1991-08-28 | International Business Machines Corporation | Selective silicon nitride plasma etching |
US5220515A (en) | 1991-04-22 | 1993-06-15 | Applied Materials, Inc. | Flow verification for process gas in a wafer processing system apparatus and method |
US6165311A (en) | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6024826A (en) | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US5346578A (en) | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
US5413145A (en) | 1993-04-19 | 1995-05-09 | Texaco Inc. | Low-pressure-drop critical flow venturi |
US5329965A (en) | 1993-07-30 | 1994-07-19 | The Perkin-Elmer Corporation | Hybrid valving system for varying fluid flow rate |
JP2638443B2 (ja) | 1993-08-31 | 1997-08-06 | 日本電気株式会社 | ドライエッチング方法およびドライエッチング装置 |
US5520969A (en) | 1994-02-04 | 1996-05-28 | Applied Materials, Inc. | Method for in-situ liquid flow rate estimation and verification |
US5762714A (en) | 1994-10-18 | 1998-06-09 | Applied Materials, Inc. | Plasma guard for chamber equipped with electrostatic chuck |
US5605179A (en) | 1995-03-17 | 1997-02-25 | Insync Systems, Inc. | Integrated gas panel |
US5683517A (en) | 1995-06-07 | 1997-11-04 | Applied Materials, Inc. | Plasma reactor with programmable reactant gas distribution |
US5702530A (en) | 1995-06-23 | 1997-12-30 | Applied Materials, Inc. | Distributed microwave plasma reactor for semiconductor processing |
US6050283A (en) | 1995-07-07 | 2000-04-18 | Air Liquide America Corporation | System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing |
TW283250B (en) | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
US5907221A (en) | 1995-08-16 | 1999-05-25 | Applied Materials, Inc. | Inductively coupled plasma reactor with an inductive coil antenna having independent loops |
JP2713276B2 (ja) | 1995-12-07 | 1998-02-16 | 日本電気株式会社 | 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 |
US6075606A (en) | 1996-02-16 | 2000-06-13 | Doan; Trung T. | Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates |
US5662143A (en) | 1996-05-16 | 1997-09-02 | Gasonics International | Modular gas box system |
US5744695A (en) | 1997-01-10 | 1998-04-28 | Sony Corporation | Apparatus to check calibration of mass flow controllers |
JP3247079B2 (ja) | 1997-02-06 | 2002-01-15 | 松下電器産業株式会社 | エッチング方法及びエッチング装置 |
US6210593B1 (en) | 1997-02-06 | 2001-04-03 | Matsushita Electric Industrial Co., Ltd. | Etching method and etching apparatus |
US6062256A (en) | 1997-02-11 | 2000-05-16 | Engineering Measurements Company | Micro mass flow control apparatus and method |
US6376386B1 (en) | 1997-02-25 | 2002-04-23 | Fujitsu Limited | Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6042687A (en) | 1997-06-30 | 2000-03-28 | Lam Research Corporation | Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing |
JP3586075B2 (ja) | 1997-08-15 | 2004-11-10 | 忠弘 大見 | 圧力式流量制御装置 |
US6186092B1 (en) | 1997-08-19 | 2001-02-13 | Applied Materials, Inc. | Apparatus and method for aligning and controlling edge deposition on a substrate |
US6074959A (en) | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
US20030011619A1 (en) | 1997-10-08 | 2003-01-16 | Robert S. Jacobs | Synchronization and blending of plural images into a seamless combined image |
US6060400A (en) | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
US6294466B1 (en) | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
US6217937B1 (en) | 1998-07-15 | 2001-04-17 | Cornell Research Foundation, Inc. | High throughput OMVPE apparatus |
JP3830670B2 (ja) | 1998-09-03 | 2006-10-04 | 三菱電機株式会社 | 半導体製造装置 |
US6022809A (en) | 1998-12-03 | 2000-02-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Composite shadow ring for an etch chamber and method of using |
US6579805B1 (en) | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US7150994B2 (en) | 1999-03-03 | 2006-12-19 | Symyx Technologies, Inc. | Parallel flow process optimization reactor |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
WO2000063756A1 (fr) | 1999-04-16 | 2000-10-26 | Fujikin Incorporated | Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif |
US6210482B1 (en) | 1999-04-22 | 2001-04-03 | Fujikin Incorporated | Apparatus for feeding gases for use in semiconductor manufacturing |
US6709547B1 (en) | 1999-06-30 | 2004-03-23 | Lam Research Corporation | Moveable barrier for multiple etch processes |
JP2001023955A (ja) | 1999-07-07 | 2001-01-26 | Mitsubishi Electric Corp | プラズマ処理装置 |
KR100750420B1 (ko) | 1999-08-17 | 2007-08-21 | 동경 엘렉트론 주식회사 | 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 |
US6206976B1 (en) | 1999-08-27 | 2001-03-27 | Lucent Technologies Inc. | Deposition apparatus and related method with controllable edge exclusion |
JP4394778B2 (ja) | 1999-09-22 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US6589352B1 (en) | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
US6348558B1 (en) | 1999-12-10 | 2002-02-19 | Shearwater Corporation | Hydrolytically degradable polymers and hydrogels made therefrom |
JP2001230239A (ja) | 2000-02-15 | 2001-08-24 | Tokyo Electron Ltd | 処理装置及び処理方法 |
DE60108482T2 (de) | 2000-03-07 | 2006-02-16 | Symyx Technologies, Inc., Santa Clara | Prozessoptimierungsreaktor mit parallelem durchfluss |
US6645302B2 (en) | 2000-04-26 | 2003-11-11 | Showa Denko Kabushiki Kaisha | Vapor phase deposition system |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
WO2002024494A1 (en) | 2000-09-19 | 2002-03-28 | Donald Raymond Wilkinson | Occupant restraint |
JP2002110570A (ja) | 2000-10-04 | 2002-04-12 | Asm Japan Kk | 半導体製造装置用ガスラインシステム |
US6492774B1 (en) | 2000-10-04 | 2002-12-10 | Lam Research Corporation | Wafer area pressure control for plasma confinement |
US6333272B1 (en) | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP3388228B2 (ja) | 2000-12-07 | 2003-03-17 | 株式会社半導体先端テクノロジーズ | プラズマエッチング装置、及びプラズマエッチング方法 |
JP4433614B2 (ja) | 2001-01-17 | 2010-03-17 | ソニー株式会社 | エッチング装置 |
EP1233333A1 (en) | 2001-02-19 | 2002-08-21 | Hewlett-Packard Company | Process for executing a downloadable service receiving restrictive access rights to al least one profile file |
US6962879B2 (en) | 2001-03-30 | 2005-11-08 | Lam Research Corporation | Method of plasma etching silicon nitride |
DE10216703A1 (de) | 2001-04-20 | 2002-11-28 | Festo Corp Hauppauge | Stapelbare Ventilverteileranordnung |
EP1399789A1 (en) | 2001-05-24 | 2004-03-24 | Unit Instruments, Inc. | Method and apparatus for providing a determined ratio of process fluids |
US20020189947A1 (en) | 2001-06-13 | 2002-12-19 | Eksigent Technologies Llp | Electroosmotic flow controller |
US6703235B2 (en) | 2001-06-25 | 2004-03-09 | Board Of Regents, The University Of Texas System | Complex multicellular assemblies ex vivo |
US6949704B2 (en) | 2001-06-27 | 2005-09-27 | Yamaha Corporation | Apparatus for delivering music performance information via communication network and apparatus for receiving and reproducing delivered music performance information |
US20030003696A1 (en) | 2001-06-29 | 2003-01-02 | Avgerinos Gelatos | Method and apparatus for tuning a plurality of processing chambers |
JP2003032001A (ja) | 2001-07-13 | 2003-01-31 | Murata Mfg Co Ltd | 複合高周波スイッチ、高周波モジュール及び通信機 |
US20030070620A1 (en) | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
TWI272877B (en) | 2001-12-13 | 2007-02-01 | Tokyo Electron Ltd | Ring mechanism, and plasma processing device using the ring mechanism |
EP1324033B1 (de) | 2001-12-21 | 2006-09-20 | Agilent Technologies, Inc. (a Delaware corporation) | Verfahren zur Bereitstellung von Volumenströmen von Fluiden |
US6766260B2 (en) | 2002-01-04 | 2004-07-20 | Mks Instruments, Inc. | Mass flow ratio system and method |
US6744212B2 (en) | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
US6814813B2 (en) | 2002-04-24 | 2004-11-09 | Micron Technology, Inc. | Chemical vapor deposition apparatus |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
JP3856730B2 (ja) | 2002-06-03 | 2006-12-13 | 東京エレクトロン株式会社 | 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。 |
US20040040664A1 (en) | 2002-06-03 | 2004-03-04 | Yang Jang Gyoo | Cathode pedestal for a plasma etch reactor |
US6913652B2 (en) | 2002-06-17 | 2005-07-05 | Applied Materials, Inc. | Gas flow division in a wafer processing system having multiple chambers |
US7552015B2 (en) | 2002-06-24 | 2009-06-23 | Mks Instruments, Inc. | Apparatus and method for displaying mass flow controller pressure |
US7136767B2 (en) | 2002-06-24 | 2006-11-14 | Mks Instruments, Inc. | Apparatus and method for calibration of mass flow controller |
US6810308B2 (en) | 2002-06-24 | 2004-10-26 | Mks Instruments, Inc. | Apparatus and method for mass flow controller with network access to diagnostics |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
US7903742B2 (en) | 2002-07-15 | 2011-03-08 | Thomson Licensing | Adaptive weighting of reference pictures in video decoding |
US6843882B2 (en) | 2002-07-15 | 2005-01-18 | Applied Materials, Inc. | Gas flow control in a wafer processing system having multiple chambers for performing same process |
US6938505B2 (en) | 2002-08-13 | 2005-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chamber wafer detection |
US6896765B2 (en) | 2002-09-18 | 2005-05-24 | Lam Research Corporation | Method and apparatus for the compensation of edge ring wear in a plasma processing chamber |
US6895983B2 (en) | 2002-09-26 | 2005-05-24 | The Chemithon Corporation | Method and apparatus for dividing the flow of a gas stream |
JP4502590B2 (ja) | 2002-11-15 | 2010-07-14 | 株式会社ルネサステクノロジ | 半導体製造装置 |
US7311784B2 (en) | 2002-11-26 | 2007-12-25 | Tokyo Electron Limited | Plasma processing device |
KR20040050080A (ko) | 2002-12-09 | 2004-06-16 | 주식회사 하이닉스반도체 | 플라즈마 식각 챔버용 포커스 링 구동 장치 |
US7169231B2 (en) | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US20040112540A1 (en) | 2002-12-13 | 2004-06-17 | Lam Research Corporation | Uniform etch system |
US6997202B2 (en) | 2002-12-17 | 2006-02-14 | Advanced Technology Materials, Inc. | Gas storage and dispensing system for variable conductance dispensing of gas at constant flow rate |
US6898558B2 (en) | 2002-12-31 | 2005-05-24 | Tokyo Electron Limited | Method and apparatus for monitoring a material processing system |
US20040163601A1 (en) | 2003-02-26 | 2004-08-26 | Masanori Kadotani | Plasma processing apparatus |
US20040168719A1 (en) | 2003-02-28 | 2004-09-02 | Masahiro Nambu | System for dividing gas flow |
US6907904B2 (en) | 2003-03-03 | 2005-06-21 | Redwood Microsystems, Inc. | Fluid delivery system and mounting panel therefor |
CN100508117C (zh) | 2003-05-02 | 2009-07-01 | 东京毅力科创株式会社 | 等离子体处理装置 |
WO2004097919A1 (ja) | 2003-05-02 | 2004-11-11 | Tokyo Electron Limited | 処理ガス導入機構およびプラズマ処理装置 |
TW200507141A (en) | 2003-05-12 | 2005-02-16 | Agere Systems Inc | Method of mass flow control flow verification and calibration |
JP4500510B2 (ja) | 2003-06-05 | 2010-07-14 | 東京エレクトロン株式会社 | エッチング量検出方法,エッチング方法,およびエッチング装置 |
CN100454200C (zh) | 2003-06-09 | 2009-01-21 | 喜开理株式会社 | 相对压力控制系统和相对流量控制系统 |
JP4195837B2 (ja) | 2003-06-20 | 2008-12-17 | 東京エレクトロン株式会社 | ガス分流供給装置及びガス分流供給方法 |
US6955072B2 (en) | 2003-06-25 | 2005-10-18 | Mks Instruments, Inc. | System and method for in-situ flow verification and calibration |
US7064812B2 (en) | 2003-08-19 | 2006-06-20 | Tokyo Electron Limited | Method of using a sensor gas to determine erosion level of consumable system components |
US7137400B2 (en) | 2003-09-30 | 2006-11-21 | Agere Systems Inc. | Bypass loop gas flow calibration |
JP4399227B2 (ja) | 2003-10-06 | 2010-01-13 | 株式会社フジキン | チャンバの内圧制御装置及び内圧被制御式チャンバ |
US6869348B1 (en) | 2003-10-07 | 2005-03-22 | Strasbaugh | Retaining ring for wafer carriers |
US7129171B2 (en) | 2003-10-14 | 2006-10-31 | Lam Research Corporation | Selective oxygen-free etching process for barrier materials |
US7128806B2 (en) | 2003-10-21 | 2006-10-31 | Applied Materials, Inc. | Mask etch processing apparatus |
US20050155625A1 (en) | 2004-01-20 | 2005-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chamber cleaning method |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7072743B2 (en) | 2004-03-09 | 2006-07-04 | Mks Instruments, Inc. | Semiconductor manufacturing gas flow divider system and method |
JP2005288853A (ja) | 2004-03-31 | 2005-10-20 | Brother Ind Ltd | インクジェットヘッドの製造方法及びインクジェットヘッド |
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7708859B2 (en) | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
JP4407384B2 (ja) | 2004-05-28 | 2010-02-03 | 株式会社Sumco | Soi基板の製造方法 |
US7412986B2 (en) | 2004-07-09 | 2008-08-19 | Celerity, Inc. | Method and system for flow measurement and validation of a mass flow controller |
US7338907B2 (en) | 2004-10-04 | 2008-03-04 | Sharp Laboratories Of America, Inc. | Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications |
US7670436B2 (en) * | 2004-11-03 | 2010-03-02 | Applied Materials, Inc. | Support ring assembly |
US20060124169A1 (en) | 2004-12-09 | 2006-06-15 | Tokyo Electron Limited | Gas supply unit, substrate processing apparatus, and supply gas setting method |
JP2006173223A (ja) | 2004-12-14 | 2006-06-29 | Toshiba Corp | プラズマエッチング装置およびそれを用いたプラズマエッチング方法 |
JP4006004B2 (ja) | 2004-12-28 | 2007-11-14 | 株式会社東芝 | 半導体製造装置及び半導体装置の製造方法 |
US20090015141A1 (en) | 2004-12-30 | 2009-01-15 | E.I. Du Pont De Nemours And Company | Electronic Device Having An Optical Resonator |
US7376520B2 (en) | 2005-03-16 | 2008-05-20 | Lam Research Corporation | System and method for gas flow verification |
US7621290B2 (en) | 2005-04-21 | 2009-11-24 | Mks Instruments, Inc. | Gas delivery method and system including a flow ratio controller using antisymmetric optimal control |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
JP2006344701A (ja) | 2005-06-08 | 2006-12-21 | Matsushita Electric Ind Co Ltd | エッチング装置およびエッチング方法 |
US20070021935A1 (en) | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
US7322629B2 (en) | 2005-07-26 | 2008-01-29 | Intier Automotive Inc. | Locking hinge for a door structure |
US7291560B2 (en) | 2005-08-01 | 2007-11-06 | Infineon Technologies Ag | Method of production pitch fractionizations in semiconductor technology |
US7736528B2 (en) * | 2005-10-12 | 2010-06-15 | Panasonic Corporation | Plasma processing apparatus and plasma processing method |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
JP4895167B2 (ja) | 2006-01-31 | 2012-03-14 | 東京エレクトロン株式会社 | ガス供給装置,基板処理装置,ガス供給方法 |
US20070187363A1 (en) | 2006-02-13 | 2007-08-16 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US20070204914A1 (en) | 2006-03-01 | 2007-09-06 | Asahi Organic Chemicals Industry Co., Ltd. | Fluid mixing system |
JP4909609B2 (ja) | 2006-03-01 | 2012-04-04 | 株式会社東芝 | 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP4788920B2 (ja) | 2006-03-20 | 2011-10-05 | 日立金属株式会社 | 質量流量制御装置、その検定方法及び半導体製造装置 |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8997791B2 (en) | 2006-04-14 | 2015-04-07 | Mks Instruments, Inc. | Multiple-channel flow ratio controller |
US8440049B2 (en) | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US8475625B2 (en) | 2006-05-03 | 2013-07-02 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US20070283884A1 (en) * | 2006-05-30 | 2007-12-13 | Applied Materials, Inc. | Ring assembly for substrate processing chamber |
JP4814706B2 (ja) | 2006-06-27 | 2011-11-16 | 株式会社フジキン | 流量比可変型流体供給装置 |
JP4806598B2 (ja) | 2006-07-18 | 2011-11-02 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
KR101352365B1 (ko) | 2006-08-09 | 2014-01-16 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치 |
JP5037510B2 (ja) | 2006-08-23 | 2012-09-26 | 株式会社堀場エステック | 集積型ガスパネル装置 |
KR20080023569A (ko) | 2006-09-11 | 2008-03-14 | 주식회사 하이닉스반도체 | 식각프로파일 변형을 방지하는 플라즈마식각장치 |
US7757541B1 (en) | 2006-09-13 | 2010-07-20 | Pivotal Systems Corporation | Techniques for calibration of gas flows |
US7309646B1 (en) | 2006-10-10 | 2007-12-18 | Lam Research Corporation | De-fluoridation process |
US8592220B2 (en) | 2006-10-26 | 2013-11-26 | Intermolecular, Inc. | High pressure parallel fixed bed reactor and method |
US7964818B2 (en) | 2006-10-30 | 2011-06-21 | Applied Materials, Inc. | Method and apparatus for photomask etching |
US9405298B2 (en) | 2006-11-20 | 2016-08-02 | Applied Materials, Inc. | System and method to divide fluid flow in a predetermined ratio |
US20080121177A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
JP5002602B2 (ja) | 2006-12-05 | 2012-08-15 | 株式会社堀場エステック | 流量制御装置の検定方法 |
KR101428826B1 (ko) | 2006-12-12 | 2014-08-08 | 가부시키가이샤 호리바 에스텍 | 유량 비율 제어 장치 |
SE530902C2 (sv) | 2006-12-19 | 2008-10-14 | Alfa Laval Corp Ab | Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna |
KR100783062B1 (ko) | 2006-12-27 | 2007-12-07 | 세메스 주식회사 | 기판 지지 장치, 플라즈마 식각 장치 및 플라즈마 식각방법 |
US7968469B2 (en) | 2007-01-30 | 2011-06-28 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity |
US8074677B2 (en) | 2007-02-26 | 2011-12-13 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7846497B2 (en) | 2007-02-26 | 2010-12-07 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7775236B2 (en) | 2007-02-26 | 2010-08-17 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
JP5317424B2 (ja) | 2007-03-28 | 2013-10-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8202393B2 (en) | 2007-08-29 | 2012-06-19 | Lam Research Corporation | Alternate gas delivery and evacuation system for plasma processing apparatuses |
US8440259B2 (en) | 2007-09-05 | 2013-05-14 | Intermolecular, Inc. | Vapor based combinatorial processing |
US7832354B2 (en) | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
US7824146B2 (en) | 2007-09-07 | 2010-11-02 | Advanced Technology Development Facility | Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters |
JP4858395B2 (ja) | 2007-10-12 | 2012-01-18 | パナソニック株式会社 | プラズマ処理装置 |
JP5459895B2 (ja) | 2007-10-15 | 2014-04-02 | Ckd株式会社 | ガス分流供給ユニット |
KR101126536B1 (ko) | 2007-10-31 | 2012-03-22 | 고쿠리츠다이가쿠호진 도호쿠다이가쿠 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
US8191397B2 (en) | 2007-12-12 | 2012-06-05 | Air Liquide Electronics U.S. Lp | Methods for checking and calibrating concentration sensors in a semiconductor processing chamber |
US8999106B2 (en) | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US8137463B2 (en) | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
US8037894B1 (en) | 2007-12-27 | 2011-10-18 | Intermolecular, Inc. | Maintaining flow rate of a fluid |
CN101903840B (zh) | 2007-12-27 | 2012-09-05 | 株式会社堀场Stec | 流量比率控制装置 |
KR101840047B1 (ko) | 2008-01-18 | 2018-03-19 | 피포탈 시스템즈 코포레이션 | 가스 유동 제어기의 인 시투 시험을 위한 방법 및 장치 |
JP2009188173A (ja) | 2008-02-06 | 2009-08-20 | Tokyo Electron Ltd | 基板処理方法及び基板処理装置 |
JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
US7754518B2 (en) | 2008-02-15 | 2010-07-13 | Applied Materials, Inc. | Millisecond annealing (DSA) edge protection |
JPWO2009107777A1 (ja) | 2008-02-27 | 2011-07-07 | 株式会社東芝 | 動画像符号化/復号装置 |
US8969151B2 (en) | 2008-02-29 | 2015-03-03 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system employing resistance altering techniques |
US8110068B2 (en) | 2008-03-20 | 2012-02-07 | Novellus Systems, Inc. | Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes |
KR20100128333A (ko) | 2008-03-21 | 2010-12-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 에칭 시스템 및 프로세스의 방법 및 장치 |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
JP2010034416A (ja) | 2008-07-30 | 2010-02-12 | Hitachi High-Technologies Corp | プラズマ処理装置およびプラズマ処理方法 |
BRPI0917012A2 (pt) | 2008-08-13 | 2016-02-16 | Shell Int Research | método e aparelho para controlar o fluxo de gás entre uma ou mais correntes de entrada e uma ou mais correntes de saída através de uma conjunção |
US8089046B2 (en) | 2008-09-19 | 2012-01-03 | Applied Materials, Inc. | Method and apparatus for calibrating mass flow controllers |
US20100122655A1 (en) | 2008-11-14 | 2010-05-20 | Tiner Robin L | Ball supported shadow frame |
US8809196B2 (en) | 2009-01-14 | 2014-08-19 | Tokyo Electron Limited | Method of etching a thin film using pressure modulation |
JP5216632B2 (ja) | 2009-03-03 | 2013-06-19 | 東京エレクトロン株式会社 | 流体制御装置 |
US8291935B1 (en) | 2009-04-07 | 2012-10-23 | Novellus Systems, Inc. | Flexible gas mixing manifold |
JP2011047930A (ja) | 2009-07-31 | 2011-03-10 | Tdk Corp | 磁気抵抗効果素子およびセンサ |
US8409995B2 (en) | 2009-08-07 | 2013-04-02 | Tokyo Electron Limited | Substrate processing apparatus, positioning method and focus ring installation method |
JP5650935B2 (ja) | 2009-08-07 | 2015-01-07 | 東京エレクトロン株式会社 | 基板処理装置及び位置決め方法並びにフォーカスリング配置方法 |
KR101386552B1 (ko) | 2009-08-20 | 2014-04-17 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법 |
KR20120098751A (ko) | 2009-10-26 | 2012-09-05 | 솔베이 플루오르 게엠베하 | Tft 매트릭스 제조를 위한 식각 공정 |
US8270141B2 (en) | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
US20120244715A1 (en) | 2009-12-02 | 2012-09-27 | Xactix, Inc. | High-selectivity etching system and method |
US9127361B2 (en) | 2009-12-07 | 2015-09-08 | Mks Instruments, Inc. | Methods of and apparatus for controlling pressure in multiple zones of a process tool |
EP2518581B1 (en) | 2009-12-25 | 2019-03-06 | Horiba Stec, Co., Ltd. | Mass flow controller system |
KR101430093B1 (ko) | 2010-03-04 | 2014-09-22 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치 |
JP2011210853A (ja) | 2010-03-29 | 2011-10-20 | Tokyo Electron Ltd | 消耗量測定方法 |
US20110265951A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system |
US20110265883A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control |
JP5584517B2 (ja) | 2010-05-12 | 2014-09-03 | 東京エレクトロン株式会社 | プラズマ処理装置及び半導体装置の製造方法 |
US8485128B2 (en) | 2010-06-30 | 2013-07-16 | Lam Research Corporation | Movable ground ring for a plasma processing chamber |
WO2012016971A1 (en) | 2010-08-02 | 2012-02-09 | Basell Polyolefine Gmbh | Process and apparatus for mixing and splitting fluid streams |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
JP2012049376A (ja) | 2010-08-27 | 2012-03-08 | Hitachi High-Technologies Corp | プラズマ処理装置およびプラズマ処理方法 |
US8905074B2 (en) | 2010-10-22 | 2014-12-09 | Applied Materials, Inc. | Apparatus for controlling gas distribution using orifice ratio conductance control |
EP2649218B1 (en) * | 2010-12-08 | 2017-08-23 | Evatec AG | Apparatus and method for depositing a layer onto a substrate |
US20120149213A1 (en) | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
JP5855921B2 (ja) | 2010-12-17 | 2016-02-09 | 株式会社堀場エステック | ガス濃度調整装置 |
US9303319B2 (en) | 2010-12-17 | 2016-04-05 | Veeco Instruments Inc. | Gas injection system for chemical vapor deposition using sequenced valves |
US9790594B2 (en) | 2010-12-28 | 2017-10-17 | Asm Ip Holding B.V. | Combination CVD/ALD method, source and pulse profile modification |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
WO2012134512A1 (en) | 2011-03-28 | 2012-10-04 | Applied Materials, Inc. | Method and apparatus for the selective deposition of epitaxial germanium stressor alloys |
US20140017900A1 (en) * | 2011-03-29 | 2014-01-16 | Tokyo Electron Limited | Plasma etching apparatus and plasma etching method |
JP6003011B2 (ja) | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
US9059678B2 (en) | 2011-04-28 | 2015-06-16 | Lam Research Corporation | TCCT match circuit for plasma etch chambers |
US8746284B2 (en) | 2011-05-11 | 2014-06-10 | Intermolecular, Inc. | Apparatus and method for multiple symmetrical divisional gas distribution |
KR101926571B1 (ko) | 2011-05-31 | 2018-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 |
KR102045942B1 (ko) * | 2011-05-31 | 2019-11-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 에지, 측면 및 후면 보호를 갖는 건식 식각을 위한 장치 및 방법들 |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9305810B2 (en) | 2011-06-30 | 2016-04-05 | Applied Materials, Inc. | Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery |
JP5377587B2 (ja) | 2011-07-06 | 2013-12-25 | 東京エレクトロン株式会社 | アンテナ、プラズマ処理装置及びプラズマ処理方法 |
JP5739261B2 (ja) | 2011-07-28 | 2015-06-24 | 株式会社堀場エステック | ガス供給システム |
US8728239B2 (en) | 2011-07-29 | 2014-05-20 | Asm America, Inc. | Methods and apparatus for a gas panel with constant gas flow |
JP5948026B2 (ja) | 2011-08-17 | 2016-07-06 | 東京エレクトロン株式会社 | 半導体製造装置及び処理方法 |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8849466B2 (en) | 2011-10-04 | 2014-09-30 | Mks Instruments, Inc. | Method of and apparatus for multiple channel flow ratio controller system |
US20130104996A1 (en) | 2011-10-26 | 2013-05-02 | Applied Materials, Inc. | Method for balancing gas flow supplying multiple cvd reactors |
US8933628B2 (en) | 2011-10-28 | 2015-01-13 | Applied Materials, Inc. | Inductively coupled plasma source with phase control |
JP5932599B2 (ja) | 2011-10-31 | 2016-06-08 | 株式会社日立ハイテクノロジーズ | プラズマエッチング方法 |
US8671733B2 (en) | 2011-12-13 | 2014-03-18 | Intermolecular, Inc. | Calibration procedure considering gas solubility |
US8900469B2 (en) | 2011-12-19 | 2014-12-02 | Applied Materials, Inc. | Etch rate detection for anti-reflective coating layer and absorber layer etching |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
CN104380101B (zh) | 2012-02-22 | 2016-10-19 | 安捷伦科技有限公司 | 质量流量控制器以及在不关闭质量流量控制器的情况下自动调零流量传感器的方法 |
JP5881467B2 (ja) | 2012-02-29 | 2016-03-09 | 株式会社フジキン | ガス分流供給装置及びこれを用いたガス分流供給方法 |
US20130255784A1 (en) | 2012-03-30 | 2013-10-03 | Applied Materials, Inc. | Gas delivery systems and methods of use thereof |
US9301383B2 (en) | 2012-03-30 | 2016-03-29 | Tokyo Electron Limited | Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus |
CN107742613B (zh) * | 2012-04-25 | 2021-03-09 | 应用材料公司 | 晶片边缘的测量和控制 |
KR101974420B1 (ko) | 2012-06-08 | 2019-05-02 | 세메스 주식회사 | 기판처리장치 및 방법 |
KR101974422B1 (ko) | 2012-06-27 | 2019-05-02 | 세메스 주식회사 | 기판처리장치 및 방법 |
US9243325B2 (en) | 2012-07-18 | 2016-01-26 | Rohm And Haas Electronic Materials Llc | Vapor delivery device, methods of manufacture and methods of use thereof |
US9184030B2 (en) * | 2012-07-19 | 2015-11-10 | Lam Research Corporation | Edge exclusion control with adjustable plasma exclusion zone ring |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US8865602B2 (en) * | 2012-09-28 | 2014-10-21 | Applied Materials, Inc. | Edge ring lip |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
JP5616416B2 (ja) | 2012-11-02 | 2014-10-29 | 株式会社フジキン | 集積型ガス供給装置 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US20140144471A1 (en) | 2012-11-28 | 2014-05-29 | Intermolecular, Inc. | Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems |
US9090972B2 (en) | 2012-12-31 | 2015-07-28 | Lam Research Corporation | Gas supply systems for substrate processing chambers and methods therefor |
US9997381B2 (en) | 2013-02-18 | 2018-06-12 | Lam Research Corporation | Hybrid edge ring for plasma wafer processing |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
KR102152858B1 (ko) | 2013-03-12 | 2020-09-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체 |
US20140273460A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Passive control for through silicon via tilt in icp chamber |
US9472443B2 (en) * | 2013-03-14 | 2016-10-18 | Applied Materials, Inc. | Selectively groundable cover ring for substrate process chambers |
WO2014145360A1 (en) | 2013-03-15 | 2014-09-18 | Nanonex Corporation | Imprint lithography system and method for manufacturing |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9425077B2 (en) | 2013-03-15 | 2016-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor apparatus with transportable edge ring for substrate transport |
KR20140132542A (ko) | 2013-05-08 | 2014-11-18 | 주식회사 미코 | 세라믹 히터 및 이의 제조 방법 |
JP5962921B2 (ja) * | 2013-05-09 | 2016-08-03 | パナソニックIpマネジメント株式会社 | プラズマ処理装置及びプラズマ処理方法 |
FR3008266B1 (fr) | 2013-07-03 | 2015-08-07 | Commissariat Energie Atomique | Procede et systeme d'acces multiple avec multiplexage frequentiel de requetes d'autorisation d'envoi de donnees |
US20150010381A1 (en) | 2013-07-08 | 2015-01-08 | United Microelectronics Corp. | Wafer processing chamber and method for transferring wafer in the same |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
CN104299929A (zh) | 2013-07-19 | 2015-01-21 | 朗姆研究公司 | 用于原位晶片边缘和背侧等离子体清洁的系统和方法 |
US9816506B2 (en) | 2013-07-31 | 2017-11-14 | Trane International Inc. | Intermediate oil separator for improved performance in a scroll compressor |
JP6193679B2 (ja) | 2013-08-30 | 2017-09-06 | 株式会社フジキン | ガス分流供給装置及びガス分流供給方法 |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
CN103730318B (zh) | 2013-11-15 | 2016-04-06 | 中微半导体设备(上海)有限公司 | 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法 |
US10804081B2 (en) * | 2013-12-20 | 2020-10-13 | Lam Research Corporation | Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber |
WO2015099892A1 (en) | 2013-12-23 | 2015-07-02 | Applied Materials, Inc. | Extreme edge and skew control in icp plasma reactor |
US20150184287A1 (en) | 2013-12-26 | 2015-07-02 | Intermolecular, Inc. | Systems and Methods for Parallel Combinatorial Vapor Deposition Processing |
CN104752141B (zh) | 2013-12-31 | 2017-02-08 | 中微半导体设备(上海)有限公司 | 一种等离子体处理装置及其运行方法 |
CN104851832B (zh) | 2014-02-18 | 2018-01-19 | 北京北方华创微电子装备有限公司 | 一种固定装置、反应腔室及等离子体加工设备 |
WO2015138094A1 (en) * | 2014-03-12 | 2015-09-17 | Applied Materials, Inc. | Wafer rotation in a semiconductor chamber |
US9580360B2 (en) | 2014-04-07 | 2017-02-28 | Lam Research Corporation | Monolithic ceramic component of gas delivery system and method of making and use thereof |
JP6204869B2 (ja) | 2014-04-09 | 2017-09-27 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US20150340209A1 (en) | 2014-05-20 | 2015-11-26 | Micron Technology, Inc. | Focus ring replacement method for a plasma reactor, and associated systems and methods |
US9034771B1 (en) | 2014-05-23 | 2015-05-19 | Applied Materials, Inc. | Cooling pedestal for dicing tape thermal management during plasma dicing |
US9318343B2 (en) | 2014-06-11 | 2016-04-19 | Tokyo Electron Limited | Method to improve etch selectivity during silicon nitride spacer etch |
CN105336561B (zh) | 2014-07-18 | 2017-07-21 | 中微半导体设备(上海)有限公司 | 等离子体刻蚀装置 |
JP6789932B2 (ja) | 2014-10-17 | 2020-11-25 | ラム リサーチ コーポレーションLam Research Corporation | 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 |
US10242848B2 (en) * | 2014-12-12 | 2019-03-26 | Lam Research Corporation | Carrier ring structure and chamber systems including the same |
US20160181116A1 (en) | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
US11605546B2 (en) | 2015-01-16 | 2023-03-14 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
TW201634738A (zh) | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
US9966270B2 (en) | 2015-03-31 | 2018-05-08 | Lam Research Corporation | Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity |
US10163610B2 (en) * | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
KR20170014384A (ko) * | 2015-07-30 | 2017-02-08 | 삼성전자주식회사 | 건식 식각장치 |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
KR102689380B1 (ko) | 2016-01-26 | 2024-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US9640409B1 (en) | 2016-02-02 | 2017-05-02 | Lam Research Corporation | Self-limited planarization of hardmask |
DE102016202071A1 (de) | 2016-02-11 | 2017-08-17 | Siemens Aktiengesellschaft | Elektrischer Leiter für eine elektrische Maschine mit erhöhtem Leistungsgewicht und elektrische Komponente für die elektrische Maschine |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
CN107093569B (zh) | 2016-02-18 | 2019-07-05 | 北京北方华创微电子装备有限公司 | 一种晶片定位装置及反应腔室 |
US20170278679A1 (en) | 2016-03-24 | 2017-09-28 | Lam Research Corporation | Method and apparatus for controlling process within wafer uniformity |
US10312121B2 (en) | 2016-03-29 | 2019-06-04 | Lam Research Corporation | Systems and methods for aligning measurement device in substrate processing systems |
US11011353B2 (en) | 2016-03-29 | 2021-05-18 | Lam Research Corporation | Systems and methods for performing edge ring characterization |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10510516B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Moving focus ring for plasma etcher |
US10504738B2 (en) | 2017-05-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Focus ring for plasma etcher |
US10591934B2 (en) | 2018-03-09 | 2020-03-17 | Lam Research Corporation | Mass flow controller for substrate processing |
US11093605B2 (en) | 2018-06-28 | 2021-08-17 | Cisco Technology, Inc. | Monitoring real-time processor instruction stream execution |
US10760944B2 (en) | 2018-08-07 | 2020-09-01 | Lam Research Corporation | Hybrid flow metrology for improved chamber matching |
-
2017
- 2017-02-02 US US15/422,823 patent/US10651015B2/en active Active
- 2017-02-09 TW TW106104190A patent/TWI745347B/zh active
- 2017-02-09 KR KR1020170018053A patent/KR20170095137A/ko not_active Application Discontinuation
- 2017-02-13 CN CN201710076027.2A patent/CN107086168B/zh active Active
-
2020
- 2020-05-11 US US16/871,829 patent/US11342163B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002503765A (ja) * | 1998-02-13 | 2002-02-05 | アプライド マテリアルズ インコーポレイテッド | 化学気相堆積用リアクタ |
US7988813B2 (en) * | 2007-03-12 | 2011-08-02 | Tokyo Electron Limited | Dynamic control of process chemistry for improved within-substrate process uniformity |
CN101552182B (zh) * | 2008-03-31 | 2010-11-03 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种用于半导体制造工艺中的边缘环机构 |
Also Published As
Publication number | Publication date |
---|---|
TWI745347B (zh) | 2021-11-11 |
TW201737405A (zh) | 2017-10-16 |
CN107086168A (zh) | 2017-08-22 |
US11342163B2 (en) | 2022-05-24 |
KR20170095137A (ko) | 2017-08-22 |
US20170236741A1 (en) | 2017-08-17 |
US20200273671A1 (en) | 2020-08-27 |
US10651015B2 (en) | 2020-05-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN107086168B (zh) | 用于蚀刻均匀性控制的可变深度边缘环 | |
US11424103B2 (en) | Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment | |
CN110767525B (zh) | 用于确定边缘环特性的系统和方法 | |
CN107452590B (zh) | 用于在下游反应器中边缘蚀刻速率控制的可调侧气室 | |
KR102406081B1 (ko) | 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치 | |
US11605546B2 (en) | Moveable edge coupling ring for edge process control during semiconductor wafer processing | |
US11236422B2 (en) | Multi zone substrate support for ALD film property correction and tunability | |
CN111466019A (zh) | 用于边缘环损耗补偿的系统和方法 | |
US10347464B2 (en) | Cycle-averaged frequency tuning for low power voltage mode operation | |
CN112868084B (zh) | 衬底处理系统和用于操作衬底处理系统的方法 | |
CN114008734A (zh) | 在传送衬底期间使用真空 | |
US20230298859A1 (en) | Optimizing edge radical flux in a downstream plasma chamber |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |